带有ZIP负载的三相配电潮流的Z-Bus方法的收敛性matlab源代码

发布时间 2023-04-13 11:14:38作者: madai109

带有ZIP负载的三相配电潮流的Z-Bus方法的收敛性matlab源代码,代码按照高水平文章复现,保证正确
单相分布负荷流中Z-Bus方法的收敛性及唯一解的存在性
用z总线法求解含wye和delta等功率、恒流、恒阻抗负载(ZIP负载)的三相配电网的负载流问题。
z总线方法被看作是一个不动点迭代。
利用收缩映射定理,给出了一组充分条件,保证了a)在由网络参数计算得到的区域上存在唯一解,b) Z-Bus方法收敛到唯一解。
数值算例表明,新的充分条件适用于实际配电网,改进了以往报道的Z-Bus方法在三相配电网中的收敛性。
导出了由网络参数显式计算的不平衡三相配电网负荷流问题在区域内唯一解的一组充分条件。
同时证明了已知的Z-Bus迭代方法是在定义区域上的一种压缩,从而收敛于唯一解。
MATLAB代码从数值上验证了Z-Bus方法是IEEE分布测试网络的一种压缩映射。
此外,还实现了z-bus负荷电流和前后扫描载荷流负荷电流

ID:58200638285167866


312