Verilog $monitor的用法

发布时间 2023-03-22 21:13:46作者: Mieah108

函数作用

monitor用于追踪变量的变化情况,在使用monitor时,当需要打印出的输出发生改变,则会自动打印出当前时刻的值。

基本用法

如下verilog代码例子可以实现monitor功能。

module test;
    reg a;
    reg b;
    initial begin
        $monitor($time,,"a=%b,b=%b",a,b); //time代表仿真时间
        a=0;
        b=0;
        #1 a=1;
        #1 b=1;
        #2 a=0;
        #4 a=1; b=0;
        #10 $finish; //or $stop
    end

这样便可以实时仿真出参数的变化,有助于在仿真过程中观察。

总结

使用monitor监视变量变化有着自动检测的优点,相比display能够更方便的实时观察变量变化。