基于FPGA的图像中值滤波开发,包括tb测试文件以及matlab验证代码

发布时间 2023-11-14 16:22:51作者: 简简单单做算法
  1. 算法运行效果图预览

 

 

 

通过MATLAB调用FPGA的仿真结果,显示滤波效果:

 

 

 

2.算法运行软件版本

vivado2019.2

 

matlab2022a

 

3.算法理论概述

          基于FPGA的图像中值滤波是一种在图像处理中常用的滤波技术,其原理是通过一定的算法将图像中的噪声平滑掉,同时尽量保留图像的细节信息。该技术主要应用于图像降噪、图像增强等领域。中值滤波是一种非线性信号处理技术,其原理是将图像中每个像素点的值设置为该点周围邻居像素值的中值。具体来说,对于一个像素点,其滤波后的值等于该点周围邻居像素值排序后的中间值。这种滤波方法可以有效去除图像中的椒盐噪声、孤立点等,同时能够保留图像的边缘信息。

 

        在基于FPGA的图像中值滤波中,FPGA作为硬件平台,可以实现高速的并行计算,从而提高滤波效率。通常,FPGA上会设计一个专门的中值滤波器模块,该模块包含了用于排序的比较器和存储器。

 

中值滤波的数学表达式为:

 

Median(f(x,y)) = median(g(x-i,y-j) for all i,j around (x,y)

 

其中,f(x,y)表示原始图像,g(x-i,y-j)表示滤波后的图像,i和j表示像素坐标。在实际应用中,通常采用滑动窗口的方式来实现中值滤波,窗口大小和步长可以根据实际需求进行调整。

 

基于FPGA的图像中值滤波开发主要包括以下几个步骤:

 

算法设计:根据需求选择合适的中值滤波算法,并根据FPGA的特点进行算法优化。

 

硬件设计:根据算法设计,使用硬件描述语言(如VHDL或Verilog)设计FPGA的硬件结构。

 

硬件仿真与验证:使用仿真工具对设计的硬件结构进行仿真验证,以确保其正确性和性能满足要求。

 

硬件实现:将设计的硬件结构下载到FPGA上,并进行实际测试和调试。

 

系统集成:将FPGA中实现的图像中值滤波模块集成到整个图像处理系统中,并进行系统测试和优化。

 

         总的来说,基于FPGA的图像中值滤波开发需要具备一定的硬件设计和图像处理知识,以及对FPGA开发流程的熟悉。在实际开发过程中,还需要根据具体需求进行算法优化和硬件设计优化,以提高系统的性能和稳定性。

 

 

 

 

4.部分核心程序

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2022/07/28 01:51:45
// Design Name: 
// Module Name: test_image
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//
 
module test_image;
 
reg i_clk;
reg i_rst;
reg [7:0] tmps [0:100000];
reg [7:0] Images;
wire [7:0] o_medfilter;
integer fids,idx=0,dat;
 
//D:\FPGA_Proj\FPGAtest\code\test0N.bmp 路径改为自己的路径 
 
initial 
begin
	fids = $fopen("D:\\FPGA_Proj\\FPGAtest\\code\\test0N.bmp","rb");
	dat  = $fread(tmps,fids);
	$fclose(fids);
end
 
initial 
begin
i_clk=1;
i_rst=1;
#1000;
i_rst=0;
end 
 
always #5  i_clk=~i_clk;
 
always@(posedge i_clk) 
begin
	Images<=tmps[idx];
	idx<=idx+1;
end
 
 
med_filter med_filter_u(
.i_clk      (i_clk),
.i_rst      (i_rst),
.i_images   (Images),
.o_medfilter(o_medfilter)
);
 
integer fout1;
initial begin
 fout1 = $fopen("medfilter.txt","w");
end
 
always @ (posedge i_clk)
 begin
    if(idx<=67131)
	$fwrite(fout1,"%d\n",o_medfilter);
    else
    $fwrite(fout1,"%d\n",0);
end
 
endmodule