systemverilog中fork..join, join_any, join_none的用法和解析

发布时间 2023-11-22 11:30:27作者: 麦子稗子

对fork.. join, join_any以及join_none的用法进行总结,以及整理下可能遇到的坑。

 

简单的说就是:

fork..join: 必须等到ment1,ment2,ment3全部执行完之后,ment4才可以执行。

fork..join_any: 等到ment1,ment2,ment3之中任何一个执行完毕之后,ment4才可以执行

fork..join_none: ment4的执行与否不依赖于ment1,ment2和ment3,他们可以同步执行。

 

在使用过程中经常需要多线程操作,多线程的正确写法为:

 

for(int i=0;i<3;i++)begin
    fork
        automatic idx = i
        begin
            `uvm_do(do[i]);
        end        
    join_none
end

 


这样就完成了一个简单的多线程,这里为什么要用automatic关键字定义idx,可以参考下面的详细解释。

局部数据存储 automatic作用

Verilog中由于任务中局部变量会使静态存储区,当在多个地方调用同一个任务时,不同线程之间会窜用这些局部变量。

Systemverilog中,module和program块中,缺省使用静态存储;如果想使用自动存储,需加入automatic关键词。