SOC芯片架构技术分析(一)

发布时间 2023-09-28 05:26:05作者: 吴建明wujianming

SOC芯片架构技术分析(一)

框架总览

 SOC芯片研究框架

1. SoC概况

1.1 SoC简介

1.2 SoC发展历程及未来发展趋势

1.3 SoC市场概况

2. SoC产业链

2.1 SoC产业链概况

2.2 产业链上游情况

2.3 产业链中游情况

2.4 产业链下游及终端应用  

3. 成长驱动力

3.1 前述:AI

3.2 汽车

3.3 智能手机

3.4 平板与笔记本电脑

3.5 服务器

3.6 AIoT

3.7 安防

3.8 AR/VR

4. 总结

 1.1 SoC简介:SoC在一块芯片上集成整个信息处理系统

片上系统SoC(System on Chip),即在一块芯片上集成一整个信息处理系统,简单来说 SoC芯片是在中央处理器CPU的 基础上扩展音视频功能和专用接口的超大规模集成电路,是智能设备的“大脑”。

应用处理器AP(Application Processor)是SoC中包含CPU在内的所有计算芯片的集成物。智能手机SoC通常包含AP和基带 处理器BP等,AP负责应用程序的运行,BP负责收发无线信号。有时将AP和SoC混用。

随着半导体工艺的发展,传统MCU已经不能完全满足智能终端的需求,SoC应运而生,凭借其性能强、功耗低、灵活度 高的特点,使单芯片能够完成完整的电子系统。SoC在移动计算(例如智能手机和平板电脑)和边缘计算市场中非常普 遍。它们也常用于嵌入式系统,如WiFi路由器和物联网。

当前 SoC已成为功能最丰富的硬件,集成了 CPU、GPU、RAM、ADC、DAC、Modem、高速DSP 等各个功能模块,部分 SoC还集成了电源管理模块、各种外部设备的控制模块,同时还需要考虑各总线的分布利用等。

 1.1 SoC简介:IP核是构成SoC的基本单元 Ø IP 核(Intellectual Property Core),即知识产权核,在集成电路设计行业中指已验证、可重复利用、具有某种确定功 能的芯片设计模块。SoC是以IP模块为基础的设计技术,IP是SoC应用的基础。

IP 核可以划分为CPU、GPU、DSP、VPU、总线、接口等6个类别,也可按软核、固核、硬核分类。

1.1 SoC简介:IP核授权技术的诞生和发展为SoC奠定了基础

SoC的概念和设计技术始于20世纪90年代中期。早期芯片设计难度较低,半导体公司多为集设计、制造、封测为一体的 IDM厂商。随着半导体产业和工艺的进步,往后芯片随着摩尔定律不断更新迭代,晶片设计和制造的成本和难度均大幅 上升,单一厂商难以承担高额研发及制造费用。20世纪80年代,台积电的成立不断引导半导体产业朝“Fabless(设计) +Foundry(制造)+OSAT(封测)”分工方向发展。

1990年IP龙头Arm诞生,开创了IP核授权模式。Arm负责芯片 架构设计,并将IP核授权给Fabless厂商。随着超大规模集成 电路的发展,集成电路(IC)逐渐向集成系统(IS)转变,IC 设计厂商趋向于将复杂功能集成到单硅片上,SoC的概念逐 渐形成。例如,三星等厂商根据产品需求将基于ARM架构的 CPU处理器和各类外围IP组合得到包含许多组件的SoC,根据 不同应用需求,内部组件封装不尽相同。1994 年Motorola发 布的Flex Core系统和1995年LSILogic公司为Sony公司设计的 SoC, 是基于IP核完成SoC设计的最早报导。

1.1 SoC简介:IP核复用技术利于IC设计行业发展

一般来说,一个SoC芯片由设计厂商自主设计的电路和多个外购IP核组成。IP核复用即向IP厂商购买已有的IP核,并进行 布局、连接、检查和验证。

IP核授权模式能够在SoC中调用已设计好的具有独立功能的模块,一方面能够简化设计流程、加快了设计速度,降低设 计难度,另一方面符合半导体分工发展的模式,使IC设计公司能摆脱IDM模式的束缚和壁垒,专注芯片设计,从而带动 IC设计行业的发展。

IP复用类似于“拼图”

 1.1 SoC简介:SoC结构

典型的SoC包括以下部分:

• 一个或多个处理器内核,可以是MCU、MPU、数字信号处理器或专用指 令集处理器内核;

• 存储器:可以是RAM、ROM、EEPROM或闪存;

• 用于提供时间脉冲信号的振荡器和锁相环电路;

• 由计数器和计时器、电源电路组成的外设;

• 不同标准的连线接口,如USB、火线、以太网、通用异步收发;

• 用于在数字信号和模拟信号之间转换的ADC/DAC;

• 电压调理电路及稳压器。

在外设内部,各组件通过芯片上的互联总线相互连接。ARM公司推出的 AMBA片上总线主要包括高性能系统总线AHB、通用系统总线ASB、外围互 联总线APB、可拓展接口AXI。AHB主要针对高效率、高频宽及快速系统模 块;ASB可用于某些高速且不必要使用AHB 总线的场合作为系统总线;APB 主要用于低速、低功率的外围,AXI在AMBA3.0协议中增加,可以用于ARM 和FPGA的高速数据交互。

基于ARM的SoC

1.1 SoC简介:SoC vs. MCU

MCU(Micro Control Unit)微控制器,芯片级的芯片。MCU将计算机的CPU、RAM、ROM、定时计数器和多种I/O接口集成 在一片芯片上,只提供最少的内存、接口、处理能力等,专注于小型嵌入式控制系统或控制应用程序。

SoC是系统级的芯片,可能包含许多MCU,适用于具有更多要求和更复杂的应用程序。SoC是一个完整的单芯片计算机 系统,能够执行具有更高资源需求的复杂任务。

1.1 SoC简介:指令集

指令集是CPU的一种设计模式,分为精简指令集RISC和复杂指令 集CISC两种。其中,ARM、MIPS、Power、Alpha等均是基于RISC 架构,X86则是基于CISC的架构。

X86架构占据了服务器和桌面领域的垄断地位,ARM架构占据了 嵌入式领域的绝大部分市场,而MIPS、Power、RISC-V等也在相 关特殊领域占有一定的市场份额。

SoC处理器内核通常都使用ARM、RISC-V指令集架构,因为在嵌 入式和移动计算市场中面积和功率通常受严格限制。

1.1 SoC简介:ARM指令结构已发展到第九代

ARM开发了ARM架构并授权其他公司使用并自主开发SoC,当前ARM架构在移动端核心CPU占据绝对主导份额。从1985 年ARMv1架构诞生起到2021年,ARM架构已发展到第九代。2021年正式推出的ARMv9指令集,在兼容ARMv8的基础上 进一步提升处理器性能、安全性、矢量计算、机器学习和数字信号处理。基于ARMv9发开的处理器将在2022年正式商 用,可能应用于下一代骁龙等SoC。

1.1 SoC简介:ARM Cortex系列针对不同应用领域

ARMv7架构开始,ARM改以Cortex命名,并分为“应用”配置Cortex-A 系列,“嵌入式”配置Cortex-R系列、“微处理器”配置ARM Cortex-M 系列。Cortex-A面向高性能应用处理器内核,如智能手机、平板电脑、 机顶盒、网络设备、服务器等。Cortex-R针对高性能实时应用场景,如 汽车应用、消费电子等。Cortex-M系列主要面向嵌入式设备和IoT设备, 对功耗和尺寸要求较高,应用于微控制器、传感器、通信模组、智能 家居等。

 1.1 SoC简介:ARM两类核心收费模式

近20年,智能移动设备兴起,基于精简指令集架构的ARM内核IP凭借着低成本、高性能、低功耗的特点和IP授权模式,在 智能手机、平板电脑等移动终端SoC等下游领域取得成功,占据了绝大部分市场份额,有强势定价权。国内主要半导体厂 商和用户如华为、小米、中兴、瑞芯微等设计的商用SoC和物联网设备绝大多数使用ARM技术。

ARM核心收费模式:授权费(license fee)、版税(royalty)。ARM授权技术给芯片设计公司,设计公司缴纳授权费,生 产芯片后,发芯片给OEM终端客户,并按芯片发货量缴纳版税给ARM,终端厂商付费给芯片代工厂;ARM也会为终端厂 商提供技术和业务支持。

1.1 SoC简介:RISC-V指令结构

AI、5G、边缘计算的发展对计算技术提出新的需求,但绝大多数指令集架构都受到专利保护,如x86、MIPS、Alpha,遏制 了创新发展。先前的指令集架构较复杂,且应用领域较单一,且不便于对特定应用进行自定义扩展,缺乏适用于多个领域 的统一架构。为此,加州大学伯克利分校研究人员设计了新的指令集架构RISC-V,并以BSD授权的方式开源。近两年RISC-V 架构大热,生态也发展较快,比较适合低功耗的应用场景,其开源、精简、可修改等特点决定了RISC-V将在物联网时代拥 有巨大的发展前景,未来很可能发展成为世界主流指令结构之一。

1.1 SoC简介:国内许多企业已成为RISC-V基金会会员

1.1 SoC简介:我们预计未来采用RISC-V结构的芯片将持续增加

RISC-V已有多个版本的处理器内核和SoC芯片,其中部分是开源免费,部分是商业公司开发用于内部项目。RISC-V发展已 经过国内许多商业化应用验证,也是我国发展自主可控国产CPU的重要途径,但软件生态还需不断完善。

Semico Research研究结果显示,未来RISC-V将被大量运用于包括计算机、消费、通讯、运输和工业市场在内的细分市场, 到2025年,采用RISC-V架构的芯片数量将增至624亿颗,复合增长率高达146%。

1.1 SoC简介:香山开源RISC-V处理器

香山是RISC-V联盟联合业界企业开发的开源高性能RISC-V处理器,基于硬件设计语言Chisel,支持RV64GC指令集,运用支 持敏捷设计的流程工具,开源协议选择木兰宽松版许可证(MulanPSLv2),于2020年6月11日在GitHub上建立代码仓库, 其理念为代码开源、流程开放、文档公开,满足了业界对高性能处理区的需求。香山第一版架构“雁栖湖”于2021年4 月完成代码,计划7月基于28nm流片,未来目标性能和ARM Cortex-A76齐平。第二版架构“南湖”计划2021年年底基于 14nm流片。

1.2 SoC发展历程及未来发展趋势

分工细化:部分IC设计厂商专注于IP核设计,部分厂商将不同功能的 IP 核集成,设计出符合市场需求的SoC芯片。

制程迭代:SoC一直遵循摩尔所指示的规律推进,如今硅芯片已逼近物理和经济成本上的极限,半导体发展制程迭代放缓 ,进入后摩尔时代。

高端SoC不断追求算力提升:SoC的发展是性能、算力、功耗、工艺难度几方面的平衡。当前AI成为各大SoC厂商的必争之 地,同时对算法提出更高要求,在功耗受限的场景下实现AI算法成为关键,算力效率(单位算力的成本和功耗)极为重要 。以苹果A14SoC为例,A14使用5nm工艺,和A13相比CPU性能提升16%,GPU提升10%左右,AI加速器Neural Engine的性能 提升则接近100%。未来应用于手机、平板、服务器等高端SoC将继续朝高性能发展。

苹果M1SoC CPU性能vs功耗

1.3 未来全球处理器市场规模将持续扩大

SoC在追求高性能和低功耗的智能手机、平板电脑等芯片领域已占据主导地位,在自动驾驶、AIoT等领域也已得到应用, 随着AIoT、5G的不断发展,未来还将向更为广阔的应用领域扩展。此外,数据大爆炸时代对边缘计算算力提出更高要求, 智能硬件需求量也将持续上涨。据Yole预计,2019年全球应用处理器 AP市场规模为340亿美元,2025年将增长到560亿美 元,复合增长率8.7%,市场规模有望持续扩大。

能够抓住趋势精准布局的IC设计厂商将在市场大潮中快速占领市场份额。从国内厂商来看,瑞芯微、全志科技等在平板 电脑市场、晶晨股份等在机顶盒市场、国科微等在卫星电视市场、富瀚微等在模拟监控摄像头ISP芯片市场、博通集成等 在2019年汽车ETC市场都抓住了机会。