SV 字符串类型

发布时间 2023-12-10 08:39:18作者: Icer_Newer

概述

常见使用方式

string b;

string b="";

// 拼接字符串
string a = {"hi",b};

// 将字符串a赋值给[15:0]长度的变量r
// 将字符串赋值给四值逻辑logic - 使用显式数据类型转换
// 显式数据类型转换:dst = T'(src)
r = r_t'(a);

// logic转变为字符串,也需要使用显式类型转换
b = string(r) 

b = "Hi"

// 表示5个连续的Hi拼接
b = {5"Hi"};

b = {i{"Hi"}}

b = {"Hi",""}; // 输出"Hi"

# 字符串的每一位都表示一个字节
a[0] = "h";

字符串内建方法

  • 字符串数字表示为多少多少进制,将字符串转变为整型调用的函数就选择对应进制的函数
String sig_value = “1001” 

// 选择二进制转换函数进行转换
$display({sig_path,"=",str.atobin(sig_value));