Windows+Celery4+eventlet,异步报错:Recursion Error: maximum recursion depth exceeded while calling a Python object

发布时间 2023-07-06 14:18:26作者: yingzi__block

前情提要:Windows环境下,使用Celery4和eventlet,在Django项目中启用异步和周期,报错如下:

RecursionError: maximum recursion depth exceeded while calling a Python object

经过排查,只找到解决方法:改为使用gevent

1、安装:

pip install gevent

2、在manage.py文件中添加以下代码

import gevent.monkey

gevnt.monkey.patch_all()

3、启用

python manage.py celery worker -P gevent