04 程序的固化和下载

发布时间 2023-12-28 09:05:53作者: 米联客(milianke)

软件版本:VIVADO2021.1

操作系统:WIN10 64bit

硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA

登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑!

1 概述

对于FPGA来说调试阶段我们一般下载bit程序,bit程序断电后就丢失了,把bit程序固化到FLASH可以每次上电启动后从FLASH加载程序,实现程序掉电不丢失,本文在具体的基础课程开始前,先用一个已经编译好的流水灯的bit程序作为固化到FLASH的程序,演示如何固化。

2 什么是固化

调试阶段通过JTAG先下载bit流文件运行程序,然后用JTAG收发信息,用于在线调试。但是这样只要一断电,程序就会丢失,掉电不保存。

如果需要板卡中程序掉电不消失,则需要对程序进行固化。FPGA芯片可以从FLASH 启动,本章将详细的介绍如何制作MCS文件以及FLASH的固化方法。

3 固化的步骤

3.1 下载MCS文件到FLASH

1、单击菜单tools,选择Generate Memory Configuration File...

2、选择MCS文件,选择Memory Part,然后选择正确的FLASH型号。

3、不清楚FLASH型号的,阅读配套的核心板原理图

4、设置文件保存路径和文件名,设置bit文件路径,勾选Write Checksum、Disable bit swapping、Overwrite

5、单击OK产生mcs

6、右键,选择Add Configuration Memory Device

7、选择正确的FLASH信号

8、提示是否要下载,单击OK

9、选择需要下载的MCS文件

下载过程

10、烧写完成后,重启开发板,程序功能运行。

3.2 下载bin文件到FLASH

1、首先确保产生bin文件,如下图勾选-bin_file 然后重新产生bit文件,就可以产生bin文件了

2、采用和下载msc文件一样的办法下载bin文件,右键,选择Add Configuration Memory Device

3、选择正确的FLASH信号

4、提示是否要下载,单击OK

5、选择需要下载的BIN文件

下载过程

6、烧写完成后,重启开发板,程序功能运行。

7、烧写完成后,重启开发板,程序功能运行。

4 总结

本章详细讲解了如何创建VIVADO工程以及在VIVADO工程环境下编写纯FPGA代码的程序,并且讲解了如何添加管脚约束,时钟约束,编译程序,下载程序。通过流水灯实现这个简单的实验抛砖引玉,让大家掌握了VIVADO软件的使用。