写法 软件开发 屏幕 键盘

渐进式流程图开发支架提高大学生计算思维和编程自我效能感

本研究在脚手架教学理论的基础上,提出了一种递进式思维训练方法,以流程图的形式培养学生的计算思维能力。设计了一个准实验来评估训练的有效性。 ......
渐进式 流程图 支架 效能 思维

【趣味Javascript】前端开发中不为人知的LHS和RHS查询,你真的弄明白了吗? 《1024程序员节特别篇》

🚀 个人主页 极客小俊 ✍🏻 作者简介:web开发者、设计师、技术分享博主 🐋 希望大家多多支持一下, 我们一起进步!😄 🏅 如果文章对你有帮助的话,欢迎评论 💬点赞👍🏻 收藏 📂加关注 前言 今天是1024先祝大家节日快乐,那么今天我就给大家讲一个js小知识,也就是LHS和RHS ......
前端 程序员 Javascript 趣味 程序

广受欢迎的 VLC Media Player 开源媒体播放器软件已更新到 3.0.19 版

导读 广受欢迎的 VLC Media Player 开源媒体播放器软件已更新到 3.0.19 版,这是继 VLC 3.0.18 发布近一年后的又一版本,其中包含大量改进和错误修复。 VLC 3.0.19 版本的亮点包括改进了软件解码对 AV1 HDR 的支持,支持 WAV 音频文件的 RIFF IN ......
播放器 媒体 Player Media 软件

自制x86 Bootloader开发笔记(2)——— Bootloader设计与启动区代码实现

计算机启动流程简介 要知道如何设计bootloader,需要先了解一下计算机启动的流程。具体可见引用1,这里只需要关注以下这一点即可: 系统启动后会自动将硬盘的第一个扇区(主引导记录,MBR)加载至内存0x7c00处,并检查MBR的第511和第512个字节是否为0x55和0xaa,如果是,则跳转至0 ......
Bootloader 代码 笔记 x86 86

配置rhel系统kdump安装RHEL的debuginfo软件包

7.1.1. 关于kdump Kdump是一种内核碰撞倾销机制,可将系统内存的内容保存以供以后分析。它依赖于kexec,它可以用来从另一个内核的上下文中启动 Linux 内核,绕过 BIOS,并保留第一个内核内存的内容,否则会丢失。 在系统崩溃的情况下,kdump 使用 kexec 启动到第二个内核 ......
软件包 debuginfo 系统 kdump 软件

Calibre 插件开发

需求背景 整理了一批民国图书资源,图书的基本信息都存在数据库里,因为图书都比较早,在豆瓣的api里搜索不到对应的元数据,所以需要开发一个插件,链接到我本地的数据库中,获取对应图书的元数据。 官方参考文档 https://manual.calibre-ebook.com/creating_plugin ......
插件 Calibre

花了三年时间开发的开源项目,终于500 个 Star 了!

waynboot-mall 商城项目从疫情开始初期着手准备,到现在已经经过了 3 年多的时间,从项目初期到现在,一个人持续迭代,修复漏洞,添加功能,经历了前端开发工具从 vue2、vue-cli 切换到 vue3、vite 的转变,也经历了后端技术框架从 Spring Boot2 到 Spring ......
年时 项目 Star 500

Cocos 2.x- 屏幕适配、对齐策略

在《Cocos 2.x-Hello World 飞机大战游戏》,简单实现了一个Cocos 2.x Hello World 程序,但是在不同的机型的运行效果,会出现黑边的情况,在查看Cocos Creator的手册之后,发现了一个简单的解决方案,这里记录一下处理过程。 1. 环境搭建 为了不对原来的代 ......
屏幕 策略 Cocos

复习 Golang Chapter 1 开发环境与配置

学习安装以及配置常见的 Go 环境变量用于开发环境 学习 Go 的一些基本命令以及工具(Makefile) 如何安装与组织你的目录 go 编译器的安装方法直接上官方网站按自己的操作系统来 you are free to organize your projects as you see fit. 环 ......
Chapter 环境 Golang

OpenHarmony:4.0 Release版本的开发数据

OpenAtom OpenHarmony 4.0 Release版本于 10 月 27 日发布,经过了32周的开发周期。在此期间,有 65499 个 Committs 进入了 版本。在这个周期内完成了相当多的重要工作,本文阐释这些工作由谁完成。 这次共有 2220 位贡献者为 4.0 Release ......
OpenHarmony Release 版本 数据 4.0

基于FPGA的Lorenz混沌系统verilog开发,含testbench和matlab辅助测试程序

1.算法运行效果图预览 将vivado的仿真结果导入到matlab显示三维混沌效果: 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 洛伦兹混沌系统是一种非线性动力系统,最初由爱德华·洛伦兹(Edward Lorenz)于1963年引入,它的简单方程组引发了 ......
testbench verilog 程序 Lorenz matlab

软件工程第二次作业

这个作业来自哪个课程 https://edu.cnblogs.com/campus/zjlg/23rjjsjc/homework/13049 这个作业的目标是什么 实现一个命令行文本计数统计程序。能正确统计导入的纯英文txt文本中的字符数,单词数,句子数。 姓名-学号 樊嘉昕 20213303400 ......
软件工程 工程 软件

vscode开发一个luaIDE插件

基础知识 环境准备 node.js 下载后下一步下一步即可安装成功,推荐LTS版本 yeoman 脚手架工具,也就是快速帮你新建一个插件所需的目录的工具,在工作目录下cmd,输入下列命令即可安装 npm install -g yo generate-code, 生成扩展文件等,和yeoman配合使用 ......
插件 vscode luaIDE

前端开发笔记[4]-Gradio搭建演示页面

摘要 使用Gradio在Python环境搭建图像处理和视频处理的演示网页. Gradio简介 [https://www.gradio.app] Gradio是一个开源的Python库,用于构建机器学习和数据科学演示应用。它可以帮助AI算法工程师将训练的模型分享给大众,并赋予模型与用户交互的能力。使用 ......
前端 页面 笔记 Gradio

前端开发笔记[3]-electron嵌入jupyterlite

摘要 在electron应用中嵌入jupyterlite,实现python程序和运行环境的跨平台打包. jupyterlite简介 [https://jupyter.org/try-jupyter/lab/] [https://zhuanlan.zhihu.com/p/578412030] [htt ......
前端 jupyterlite electron 笔记

无界返利云控软件思路分析

1.买一台服务器,在服务器部署一个网站运营环境。 2.通过部署的运营环境得到外网IP,获得定向制作网站后台。 3.通过网站后台控件,去设置参数,通过云总服务器交互得到页面插件。 4.页面插件与云总服务器关联,直接通过云打开需要运行的登录软件 5.后台24小时云链接,无限制登录需要登录的插件,云托数据 ......
思路 软件

java开发,json转list集合,原生实现

java 是一门面象对象的语言,对象需要先定义,但是在外理网络请求时候会用到json 转成java 对象,虽然现代开发框架中也提供了很多工具和方法直接转换, 但是作为学习者了解 一下底层实现,更能灵活变通 现在有一个json 格式如下 编写一个java 类 编写一个转换方法 private void ......
java json list

[MFC]区分USB扫码枪和键盘输入的实现

不久前在帮客户做一个生产软件,要用到扫码枪输入一定长度的条码并且有条码长度防呆,结果发现手头的扫码枪居然是模拟键盘输入将条码数据直接发送到焦点控件中的(USB口的扫码枪),比如Edit Control,而由于业务要求,不允许生产线上员工手工输入条码内容,因此我将文本框设为只读,想不到扫码枪也无法输入 ......
键盘 MFC USB

01_单片机及开发板介绍

单片机及开发板介绍 单片机最小系统 ......
单片机 01

《软件工程导论》读书笔记一

《软件工程导论》是一本非常全面且深入的书籍,涵盖了许多关键的主题,包括需求分析、系统设计、项目管理、质量保证以及更多其他主题。 软件工程的重要性:理解为什么我们需要软件工程,它对现代社会的影响以及它的必要性。 软件开发生命周期(SDLC):介绍软件开发过程的主要阶段,并详细讨论每个阶段的目标和重要性 ......
软件工程 导论 笔记 工程 软件

软件工程师的戏剧冲突点

软件开发,基本的过程是三部分: 工具,流程,和人。开发中,每个角色需要关注两个方向的问题,一个是向下的问题拆解(How),另一个是向上的问题定义(What)。这两部分又都分别和另外一个绑定在一起: Why,为什么问题这样解决,为什么问题是这个。常常,解决How耗费了工程师大量的精力,因为向下解决问题 ......
冲突点 戏剧 工程师 工程 软件

软件技术基础作业(2)

软件技术基础 https://edu.cnblogs.com/campus/zjlg/23rjjsjc 这个作业的目的 实现一个命令行文本计数统计程序。能正确统计导入的txt文本中的字符数,单词数等数据 姓名-学号 陈佳亿-2021330301006 一.作业要求 实现一个命令行文本计数统计程序。能 ......
软件技术 基础 技术 软件

第3次软工任务-需求分析-“新世界”开发组

PDF链接:点击下载 ......
开发组 需求 任务

年底赶项目?买核心板送开发板!T113核心板2款芯片6种配置选择

全志T113系列芯片是目前比较受欢迎的国产入门级嵌入式工业芯片。米尔是基于T113芯片开发较早、提供配置最全的厂家,是目前唯一一家提供T113-S和T113-i两种芯片核心板的厂家。更好的消息是,T113-i的核心板兼容T113-S的核心板,同一个硬件设计,有多种更适合的选择。2种芯片,6种配置,总 ......
核心 芯片 项目 T113 113

内部类的n种写法

最近在学习java,在实现接口的时候常常因为不够偷懒而被idea打脸。 举个例子,File.listFiles()需要一个实现FileFilter的类 刚开始,萌新的我:咦,我的myFileFilter下面怎么有条黄线? private class myFileFilter implements F ......
写法

基于 tesseract-wasm+ fastify 开发一个简单的中文ocr 服务

以前我简单介绍过tesseract-wasm,基于此wasm 包我们可以直接基于nodejs 调用tesseract 的方法实现ocr 处理,以下是一个简单的demo 基于fastify 开发了一个简单的api,同时包含了一个简单的web 可以测试 项目结构 package.json { "name ......
tesseract-wasm tesseract fastify wasm ocr

软件设计实验7:单例模式

实验7:单例模式 本次实验属于模仿型实验,通过本次实验学生将掌握以下内容: 1、理解单例模式的动机,掌握该模式的结构; 2、能够利用单列模式解决实际问题。 [实验任务一]:学号的单一 仿照课堂的身份证的例子,实现每个同学仅有一个学号这一问题。 实验要求: 1. 画出对应的类图; 2. 提交源代码; ......
模式 软件

软件设计实验6:原型模式

实验6:原型模式 本次实验属于模仿型实验,通过本次实验学生将掌握以下内容: 1、理解原型模式的动机,掌握该模式的结构; 2、能够利用原型模式解决实际问题。 [实验任务一]:向量的原型 用C++完成数学中向量的封装,其中,用指针和动态申请支持向量长度的改变,使用浅克隆和深克隆复制向量类,比较这两种克隆 ......
原型 模式 软件

uniapp开发nuve原生瀑布流组件waterfall列表后边出现空白的处理方法

异常描述: 首页有轮播、广告位、瀑布流商品列表,但是使用了原生组件waterfall的商品列表后边出现了大面积空白。 解决方法: 将waterfall放到父容器下边或者直接放到template下边作为父容器,给父容器设置高度设置为窗口高度,除瀑布流展示的列表外,其他的组件都放在中即可。 <templ ......
瀑布 组件 waterfall 空白 方法

Python_web开发基础内容

网络 长连接的HTTP协议,会在响应头有加入这个头部字段:Connection:keep-alive WebSocket:需要通过网络传输的任何实时更新或连续数据流,则可以使用WebSocket HTTP:不需要很频繁或仅获取一次的数据可以通过简单的HTTP请求查询 都是用来跟踪浏览器用户身份的会话 ......
Python_web 基础 内容 Python web
共11300篇  :80/377页 首页上一页80下一页尾页