基于FPGA的图像白平衡算法实现,包括tb测试文件和MATLAB辅助验证

发布时间 2023-11-30 18:14:42作者: 简简单单做算法

1.算法运行效果图预览

 

 

 

 

2.算法运行软件版本

vivado2019.2

 

matlab2022a

 

3.算法理论概述

        FPGA(Field-Programmable Gate Array)是一种可编程逻辑电路,可以通过编程实现各种算法,包括图像白平衡算法。图像白平衡算法是一种用于调整图像颜色温度的方法,使图像看起来更自然。

 

       图像白平衡算法的基本原理是在图像中找到灰色区域,并调整图像中所有像素的颜色分布,以使这些灰色区域变为中性灰色。白平衡算法通常基于图像统计信息,例如平均颜色温度和像素颜色分布,来自动调整图像的颜色。

 

基于FPGA的图像白平衡算法实现可以采用以下步骤:

 

读取图像数据:从摄像头或存储器中读取图像数据,并将其存储在FPGA的存储器中。

计算灰度值:将每个像素的RGB值转换为灰度值,可以使用以下公式:

Y = 0.299R + 0.587G + 0.114B

 

其中,Y是灰度值,R、G、B分别是像素的红、绿、蓝三个通道的像素值。

3. 计算白平衡系数:计算图像的平均灰度值,并将其与理想的中性灰色值(例如128)进行比较,得到白平衡系数。可以使用以下公式:

 

alpha1 = X/Y

 

alpha2 = Y/Y;

 

alpha3 = Z/Y

 

其中,alpha是白平衡系数,avg(Y)是图像的平均灰度值。

4. 应用白平衡:将白平衡系数应用于每个像素的RGB值,可以使用以下公式:

 

R' = alpha1 * R

G' = alpha2 * G

B' = alpha3 * B

 

其中,R'、G'、B'分别是调整后的红、绿、蓝三个通道的像素值。

5. 输出结果:将调整后的图像数据发送到显示器或存储器中。

 

       需要注意的是,基于FPGA的图像白平衡算法实现需要考虑硬件资源的使用和性能优化。例如,可以使用流水线技术来提高处理速度,使用硬件资源池来共享存储器和计算单元等。

 

 

 

 

4.部分核心程序

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2023/08/01  
// Design Name: 
// Module Name: RGB2gray
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
module main_gray(
input i_clk,
input i_rst,
input[7:0]i_image_R,// 输入图像红色分量 
input[7:0]i_image_G,// 输入图像绿色分量
input[7:0]i_image_B,// 输入图像蓝色分量  
output [23:0]o_R,// Y 
output [23:0]o_G,// Y 
output [23:0]o_B// Y 
);
 
parameter VR = 220;
parameter VG = 180;
parameter VB = 270;
 
wire signed[8:0] R;
wire signed[8:0] G;
wire signed[8:0] B;
assign R={1'b0,i_image_R};
assign G={1'b0,i_image_G};
assign B={1'b0,i_image_B};
 
.....................................................................................
 
reg[39:0]ytmp1;// 定义18位寄存器Rreg,用于存储Y分量的值  
reg[39:0]ytmp2;// 定义18位寄存器Rreg,用于存储Y分量的值  
reg[39:0]ytmp3;// 定义18位寄存器Rreg,用于存储Y分量的值
..............................................................
         ytmp1<=$unsigned(RSS3[31:0])*$unsigned(i_image_R);
         ytmp2<=$unsigned(GSS3[31:0])*$unsigned(i_image_G);
         ytmp3<=$unsigned(BSS3[31:0])*$unsigned(i_image_B);
..............................................................
assign o_R=ytmp1[39:16];
assign o_G=ytmp2[39:16]; 
assign o_B=ytmp3[39:16]; 
endmodule