FPGA

Fpga开发笔记(一):高云FPGA芯片介绍,入手开发板套件、核心板和底板介绍

前言 FPGA作为一种逻辑芯片,硬件架构独特,具有并行性、低延时性和灵活性等特性,应用领域广泛。 FPGA市场主要玩家是英特尔、AMD、莱迪思、Microchip、Achronix等;国内厂商包括复旦微电、紫光国微、安路科技、东土科技、高云半导体、京微齐力、京微雅格、智多晶、遨格芯等。 本篇主要介绍 ......
底板 套件 芯片 核心 笔记

基于FPGA的图像自适应阈值二值化算法实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 2.算法运行软件版本 Vivado2019.2 matlab2022a 3.算法理论概述 图像二值化是数字图像处理中的一种常见技术,可以将灰度图像转换为黑白二值图像,突出图像的轮廓和特征。自适应阈值二值化是一种常用的图像二值化方法,能够根据图像局部区域的灰度分布自适应地确定阈 ......
阈值 算法 图像 文件 MATLAB

基于FPGA的电子琴设计(按键和蜂鸣器)---第一版---郝旭帅电子设计团队

本篇为各位朋友介绍基于FPGA的电子琴设计(按键和蜂鸣器) 第一版。 功能说明: 外部输入七个按键,分别对应音符的“1、2、3、4、5、6、7”,唱作do、re、mi、fa、sol、la、si。当某个按键按下时,蜂鸣器发出对应的声音 1. 默认发出0.2秒(可以调整)。2. 蜂鸣器发出对应的中音。 ......
蜂鸣器 电子 电子琴 第一版 按键

FPGA模块化设计

模块化设计出发点 在实际地操作中,总有一些基础的模块需要不断地寻找,往往需要消耗大量的时间。为了节约模块化设计的时间,提高设计的效率。在这里将一些基础的模块全部进行封装,利用网络的便捷性,实现快速地基础模块的调用。同时在这个过程中,利用FPGA的拓展性,实现代码的最大化利用。同时,也考虑到模块化封装 ......
模块 FPGA

FPGA学习实践之旅——前言及目录

很早就有在博客中记录技术细节,分享一些自己体会的想法,拖着拖着也就到了现在。毕业至今已经半年有余,随着项目越来越深入,感觉可以慢慢进行总结工作了。趁着2024伊始,就先开个头吧,这篇博客暂时作为汇总篇,记录在这几个月以及之后从FPGA初学者到也算有一定理解程度的学习过程。 前言 回想我的编程之旅其实 ......
前言 之旅 目录 FPGA

基于FPGA的数字钟设计---第三版---郝旭帅电子设计团队

本篇为各位朋友介绍基于FPGA的数字钟设计 第三版。 功能说明: 在数码管上面显示时分秒(共计六个数码管,前两个显示小时;中间两个显示分钟;最后两个显示秒)。 利用按键可以切换24/12小时制(默认24小时制)。 led1的亮灭表示24小时制(亮)还是12小时制(灭)。 led2的亮灭表示上午(亮) ......
数字钟 团队 数字 电子 FPGA

基于FPGA的图像高斯滤波实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 2.算法运行软件版本 matlab2022a vivado2019.2 3.算法理论概述 基于FPGA的图像高斯滤波实现是一种利用FPGA硬件平台对图像进行高斯滤波处理的方法。下面将详细介绍这种方法的原理和数学公式。 一、原理 高斯滤波是一种线性平滑滤波,适用于消除高斯噪声, ......
图像 文件 MATLAB FPGA

基于FPGA的图像拼接算法实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 将FPGA的拼接结果导入到matlab,显示结果如下: 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 3.1 理论概述 基于FPGA的图像拼接算法实现是一种利用FPGA硬件平台对图像进行拼接处理的方法。基于FPGA的图像拼接算法实现 ......
算法 图像 文件 MATLAB FPGA

m基于FPGA的PPM光学脉位调制解调系统verilog实现,包含testbench

1.算法仿真效果 vivado2019.2仿真结果如下: 2.算法涉及理论知识概要 基于FPGA的PPM(脉冲位置调制)光学脉位调制解调系统是一个复杂的电子与光电子相结合的通信系统。 2.1 PPM调制解调原理 脉冲相位调制(PPM)最早由Pierce提出并应用于空间通信,是利用脉冲的相对位置来传递 ......
光学 testbench verilog 系统 FPGA

基于FPGA的图像拉普拉斯变换实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 2.算法运行软件版本 matlab2022a vivado2019.2 3.算法理论概述 拉普拉斯变换是一种二阶微分算子,用于图像增强和边缘检测。它通过计算图像中每个像素点周围像素的灰度差值,突出图像中的高频成分,从而增强边缘和细节。 对于二维图像f(x,y),拉普拉斯变换定 ......
拉普拉斯 图像 文件 MATLAB FPGA

FPGA新的体会:相机传感器驱动

用过了几款相机,对使用相机也有了一点心得,在此记录。 当你得到一款相机,你需要做的: 第一件事:在datasheet中阅读配置单,知道怎么配置、配置完输出来是什么。 配置输出尺寸;传输模式:DDR?SDR?;传输格式:raw8/10/12?rgb? Dvp or Lvds? 第二件事:根据接口决定驱 ......
传感器 相机 FPGA

05 QT上位机读写FPGA内存

软件版本:vitis2021.1(vivado2021.1) 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 5.1概述 如果读者对于前面demo还有一些疑惑,那么本节 ......
内存 FPGA 05

05 FPGA 光通信预留章节

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! ......
光通信 章节 FPGA 05

06 FPGA 光通信预留章节

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! ......
光通信 章节 FPGA 06

07 FPGA 光通信预留章节

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! ......
光通信 章节 FPGA 07

08 FPGA 光通信预留章节

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! ......
光通信 章节 FPGA 08

04 FPGA光通信之8B10B ADC采集传输

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! ......
光通信 8B10B FPGA 10B B10

3-1-04 FPGA使用fdma读写DDR

软件版本:vitis2021.1(vivado2021.1) 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 4.1概述 在前文的实验中我们详细介绍了FDMA的使用方法 ......
FPGA fdma DDR 04

1-1-02 AMD(XILINX) FPGA开发工具Vitis(vivado)安装

1.1Vitis概述 Vitis 统一软件平台可实现在 Xilinx 异构平台(包括 FPGA、SoC 和 Versal ACAP)上开发嵌入式软件和加速应用。它可为边缘、云和混合计算应用加速提供统一编程模型。 利用与高层次框架的集成,通过加速库采用 C、C++ 或 Python 进行开发,或者使用 ......
开发工具 工具 XILINX vivado Vitis

37 基于FPGA的LVDS信号环路测试

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 LVDS(Low Voltage Differ ......
环路 信号 FPGA LVDS 37

31 基于FPGA简易示波器显示驱动设计

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 FPGA在数据采集,数据处理,图像视频领域都有 ......
示波器 简易 FPGA 31

29 基于FPGA实现触摸屏实验

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 常规情况下我们会使用CPU通过I2C接口实现触 ......
触摸屏 FPGA 29

07 FPGA按钮去抖实验

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MILIANKE)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 按键的消抖,是指按键在闭合或松开的瞬间伴随着一 ......
按钮 FPGA 07

08 FPGA多路分频器实验

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MILIANKE)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 在FPGA中,时钟分频是经常用到的。本节课讲解 ......
分频器 FPGA 08

05 FPGA流水灯实验

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 本章课程以大家熟悉的流水灯为例子,详细讲解了V ......
流水 FPGA 05

FPGA处理编码信号进行毛刺滤波

目录一、前言二、滤波算法三、代码设计 一、前言 在利用处理编码信号时,一般在较为理想的环境下可以很方便进行计算,判断等。但是由于有时候受到电磁干扰等环境因素,会导致编码信号产生毛刺等,这时候如果不对编码信号进行预处理而是直接进行边缘判断等操作则极容易导致错误,所以需要提前对编码信号进行滤波。 二、滤 ......
毛刺 信号 编码 FPGA

《FPGA原理和结构》——读书笔记

最近做了一个关于FPGA的项目后,读了《FPGA原理和结构》这本书。主要梗概内容和想法如下。 第一章:理解FPGA所需要的基础知识 理解FPGA我们需要数电的组合逻辑、时序逻辑等内容的知识。FPGA(20世纪70年度发展起来的,因为其具有通过组合使用器件内大量的逻辑块来实现所需的电路,比以往侠义的P ......
原理 结构 笔记 FPGA

FPGA驱动AD9240实现AD转换

目录一、前言二、时序原理三、代码设计四、结果验证 一、前言 在做项目中,经常会用到AD转换模块。前段时间做毕业设计的时候需要用到FPGA驱动AD9240模块实现模拟数据的采集和转换,尽管相对来说AD9240算比较简单的驱动模块,但是也想记录下分析和设计过程。 二、时序原理 首先通过芯片手册可以看到A ......
FPGA 9240 AD

DAC转化——FPGA驱动LTC1446

目录一、前言二、结合LTC1446芯片手册分析三、Verilog代码与仿真四、总结 一、前言 最近在学习利用FPGA结合DAC芯片实现数模转换,在实验中选择的LTC1446这款芯片。接下来自己将结合芯片手册进行分析,并编写Verilog代码并进行仿真验证。 二、结合LTC1446芯片手册分析 首先从 ......
1446 FPGA DAC LTC

基于FPGA的图像差分运算及目标提取实现,包含testbench和MATLAB辅助验证程序

1.算法运行效果图预览 2.算法运行软件版本 matlab2022a 3.算法理论概述 基于FPGA(Field-Programmable Gate Array)的图像差分运算及目标提取实现主要涉及图像处理、差分运算和目标提取等原理和数学公式。 一、图像处理原理 图像处理是一种对图像信息进行加工、分 ......
testbench 图像 目标 程序 MATLAB
共300篇  :1/10页 首页上一页1下一页尾页