FPGA

HDMI——FPGA

简介 VGA 接口体积较大,不利于便携设备的集成;且传输的模拟信号易受外界干扰,产生信号畸变。为了解决 VGA 接口的诸多问题,视频接口开始了一次革新。 VGA 接口之后,首先推出的的是 DVI 接口, DVI 是基于 TMDS(Transition Minimized Differential S ......
HDMI FPGA

AM62x GPMC并口如何实现“小数据-低时延,大数据-高带宽”—ARM+FPGA低成本通信方案

GPMC并口简介 GPMC(General Purpose Memory Controller)是TI处理器特有的通用存储器控制器接口,支持8/16bit数据位宽,支持128MB访问空间,最高时钟速率133MHz。GPMC是AM62x、AM64x、AM437x、AM335x、AM57x等处理器专用于 ......
数据 并口 带宽 成本 方案

推荐一些有关硬件/FPGA/电路方面的好用的在线网站(持续更新~)

有关硬件/FPGA/电路方面的好用的在线网站 1、在线绘制逻辑门电路图的网址Visual Paradigm: 逻辑图软件 (visual-paradigm.com) 2、在线绘制时序图的网址WaveDrom: WaveDrom - Digital timing diagram everywhere ......
电路 方面 硬件 网站 FPGA

【FPGA】FIR数字滤波器设计心得

【FPGA】FIR数字滤波器设计心得 0 前言 学校的课程设计要求设计FIR滤波器,FPGA芯片是Xilinx的Artix 7,板卡是依元素科技有限公司做的拓展板,2017年的,目前搜不到了,估计是被迭代了。 本文作为课程设计的复盘和总结。 1 FIR滤波器设计 1.1 原理 输入输出特性: FIR ......
滤波器 心得 数字 FPGA FIR

基于FPGA的图像指数对比度增强算法实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 2.算法运行软件版本 Vivado2019.2 matlab2022a 3.算法理论概述 3.1图像指数对比度增强概述 图像指数对比度增强是一种常见的图像处理方法,主要是通过改变图像的像素值来增强图像的对比度。具体来说,它通常通过将原始图像的像素值进行缩放和偏移来拉伸像素值的 ......
对比度 算法 图像 指数 文件

FPGA实现分频器

1 `timescale 1ns/1ns 2 3 module fpq_tb(); 4 5 6 reg [0:0] sys_clk; 7 reg [0:0] sys_rst_n; 8 wire [0:0] clk_out; 9 10 initial begin 11 sys_clk=1'b0; 12 ......
分频器 FPGA

基于FPGA的图像二值化处理,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 将FPGA的数据导入到matlab进行显示 2.算法运行软件版本 Vivado2019.2 matlab2022a 3.算法理论概述 基于FPGA(现场可编程门阵列)的图像二值化处理主要依赖于数字图像处理技术。其原理是将灰度图像转化为二值图像,使图像只剩下黑白两种颜色,从而简 ......
图像 文件 MATLAB FPGA

FPGA入门笔记006——状态机设计实例

状态分析: 状态1:等待“H”的到来,如果检测到“H”,进入状态2,检测“e”,否则一直等待“H”; 状态2:检测当前字符是否是“e”,如果是“e”,跳转到状态3,检测“l”,否则,回到状态1,重新等待“H”; 状态3:检测当前字符是否是“l”,如果是“l”,跳转到状态4,检测“l”,否则,回到状态 ......
实例 状态 笔记 FPGA 006

基于CrossLink-NX FPGA的核心板电路设计

引言 Field Programmable Gate Array(简称,FPGA)于1985年由XILINX创始人之一Ross Freeman发明,第一颗FPGA芯片XC2064为XILINX所发明,FPGA一经发明,后续的发展速度之快,超出大多数人的想象,近些年的FPGA,始终引领先进的工艺。在通 ......

FPGA入门笔记005——阻塞赋值和非阻塞赋值的区别

定义一个示例模组,代码如下: module block_nonblock( Clk, Rst_n, a, b, c, out ); input Clk; input Rst_n; input a,b,c; output reg[1:0]out; //out = a + b + c,out最大为3,所 ......
笔记 FPGA 005

FPGA入门笔记004——BCD计数器设计与使用

1、设置一个最大值为10的四位计数器,Verilog代码如下: module BCD_Counter( Clk, Cin, Rst_n, Cout, q ); input Clk; //计数器基准时钟 input Cin; //计数器进位输入 input Rst_n; //系统复位 // outpu ......
计数器 笔记 FPGA 004 BCD

FPGA入门笔记003——计数器IP核调用与验证

FPGA设计方式主要有三种: 1、原理图(不推荐); 2、Verilog HDL设计方式; 3、IP核输入方式 计数器IP核调用与验证步骤如下: 1、添加IP核文件 打开Quartus II,新建一个项目,名称为counter_ip。 选择Tools->MegaWizard Plug-In Mana ......
计数器 笔记 FPGA 003

m基于FPGA的8ASK调制解调系统verilog实现,包含testbench测试文件

1.算法仿真效果 本系统Vivado2019.2平台开发,测试结果如下: rtl结构如下: 2.算法涉及理论知识概要 8ASK(八进制振幅键控)是一种数字调制技术,它是ASK(振幅键控)的一种扩展形式。在8ASK中,信号的振幅被调制成八个不同的级别,每个级别代表三个二进制位的信息。因此,与2ASK和 ......
testbench verilog 文件 系统 FPGA

基于FPGA的RGB图像转化为灰度图实现,通过MATLAB进行辅助验证

1.算法运行效果图预览 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 基于FPGA的RGB图像转换为灰度图实现是一种在图像处理领域常见的操作。这种操作通过将彩色图像的RGB三个通道转换为单一的灰度值,使得图像处理变得更加简单和高效。 RGB图像是一种最常见 ......
灰度 图像 MATLAB FPGA RGB

FPGA和ZYNQ 开发平台

FPGA,全称为Field-Programmable Gate Array,即现场可编程门阵列,是一种FPGA,全称为Field-Programmable Gate Array,即现场可编程门阵列,是一种数字集成电路。它是一种半定制电路,既能解决定制电路的不足,又克服了通用器件的缺点。FPGA的基本 ......
开发平台 平台 FPGA ZYNQ

# FPGA入门笔记002——译码器

设计一个38译码器 项目文件编写: module my3_8( a, b, c, out ); input a; //输入端口A input b; //输入端口B input c; //输入端口C output reg[7:0]out; //输出端口 /* always块: '()'内部为敏感信号, ......
译码器 译码 笔记 FPGA 002

基于FPGA的ECG心电信号峰值检测和心率计算,包括testbench测试文件和ECG数据转换为coe文件程序

1.算法运行效果图预览 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 心电图(ECG)是一种广泛应用于医疗诊断的技术,用于监测心脏的电活动。随着医疗技术的发展,基于FPGA(现场可编程门阵列)的ECG信号处理系统越来越受到关注。这种系统具有高实时性、高可靠 ......
文件 心率 峰值 ECG testbench

m基于FPGA的4ASK调制解调系统verilog实现,包含testbench测试文件

1.算法仿真效果 本系统Vivado2019.2平台开发,测试结果如下: rtl结构如下: 2.算法涉及理论知识概要 随着通信技术的不断发展,多进制数字调制方式逐渐受到人们的关注。其中,4ASK(四进制振幅键控)作为一种有效的调制方式,在通信系统中具有广泛的应用前景。4ASK调制是一种多进制数字调制 ......
testbench verilog 文件 系统 FPGA

FPGA入门学习笔记001

1、assign assign为连续赋值语句,通常用于组合逻辑电路,例如: assign led_out = (key_in == 0)? a : b; 2、timescale 例如: `timescale 1ns/1ps 定义了一个仿真精度。 '1ns'为仿真步进,例如设置100的延时'#100' ......
笔记 FPGA 001

基于FPGA的图像中值滤波开发,包括tb测试文件以及matlab验证代码

算法运行效果图预览 通过MATLAB调用FPGA的仿真结果,显示滤波效果: 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 基于FPGA的图像中值滤波是一种在图像处理中常用的滤波技术,其原理是通过一定的算法将图像中的噪声平滑掉,同时尽量保留图像的细节信息。该 ......
中值 图像 代码 文件 matlab

FPGA与Simulink联合仿真环境搭建(硬件在环)

硬件在环(HIL) \(\quad\)官方的一些定义:硬件在环 (HIL) 测试是一种实时仿真,让您无需使用系统硬件即可开始测试嵌入式代码。如果正在开发的代码未按照规范运行,您可以通过此项测试来发现可能损坏硬件的异常和故障情况。 \(\quad\) 非常高大上,我这里呢,就想实现一点功能,因为我是用 ......
Simulink 环境 硬件 FPGA

m基于FPGA的2ASK调制解调系统verilog实现,包含testbench测试文件

1.算法仿真效果 本系统Vivado2019.2平台开发,测试结果如下: 2.算法涉及理论知识概要 2ASK调制解调是一种数字调制解调技术,它是基于ASK调制的一种数字调制方式。ASK调制是一种模拟调制方式,它是通过改变载波的振幅来传输数字信号。而2ASK调制解调则是将数字信号转换为二进制码,再通过 ......
testbench verilog 文件 系统 FPGA

FPGA学习笔记001——流水灯

代码如下: module led_flash( //端口列表 Clk50M, //时钟信号 Rst_n, //复位信号 led, ); //端口定义 input Clk50M; input Rst_n; output [3:0]led; //led默认为wire类型 reg [24:0]cnt; p ......
流水 笔记 FPGA 001

基于FPGA的图像sobel边缘提取算法开发,包括tb测试文件以及matlab验证代码

1.算法运行效果图预览 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 图像边缘检测大幅度地减少了数据量,并且剔除了可以认为不相关的信息,保留了图像重要的结构属性。有许多方法用于边缘检测,它们的绝大部分可以划分为两类:基于查找一类和基于零穿越的一类。基于查找 ......
算法 图像 边缘 代码 文件

基于FPGA的Lorenz混沌系统verilog开发,含testbench和matlab辅助测试程序

1.算法运行效果图预览 将vivado的仿真结果导入到matlab显示三维混沌效果: 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 洛伦兹混沌系统是一种非线性动力系统,最初由爱德华·洛伦兹(Edward Lorenz)于1963年引入,它的简单方程组引发了 ......
testbench verilog 程序 Lorenz matlab

m基于FPGA的8FSK调制解调系统verilog实现,包含testbench测试文件

1.算法仿真效果 vivado2019.2仿真结果如下: 将波形放大,看到如下效果: 2.算法涉及理论知识概要 8FSK(8-Frequency Shift Keying)是一种常用的数字调制方法,它通过在不同的频率上发送二进制数据来进行通信。8FSK在通信系统中被广泛应用,因为它具有较高的数据传输 ......
testbench verilog 文件 系统 FPGA

m基于FPGA的4FSK调制解调系统verilog实现,包含testbench测试文件

1.算法仿真效果 vivado2019.2版本开发,仿真结果如下: 2.算法涉及理论知识概要 四频移键控(4FSK)是一种常用的数字调制方法,具有较高的频带利用率和抗干扰性能。它利用不同的频率来传输二进制数据,通常应用于无线通信和数据传输等领域。 2.1、原理与数学公式 4FSK调制的基本原理是将输 ......
testbench verilog 文件 系统 FPGA

szfpga 高云gowin国产开发板GW2AR-18核心板fpga cpld测试板

1. 概述 国产FPGA是最近几年起来的产品,具有性价比高特点。而GOWIN属于国产FPGA成员,在服务和芯片都是比较大的优势,很多用户都用在LED控制,电机控制,PLC设备上,以及用于替换Lattice厂家中低端的芯片。 GW2AR-18 开发板采用GW2AR-18-LV9EQ144器件,是属于晨 ......
国产 核心 szfpga gowin GW2AR

FPGA版本更新导致IP丢失或者锁定

一、IP锁定 1.工程中的IP核会标红并有一个锁的标志,此时该IP核不可以被更新和编辑。 2. 在 Tcl console中 执行如下一条命令即可: upgrade_ip [get_ips] 二、IP丢失。 1.IP出现灰色并且有一个斜杠,证明该IP丢失了,需要自己重新创建。 2.首先在工程中找到该 ......
版本 FPGA

【TES641】青翼自研基于VU13P FPGA的4路FMC接口基带信号处理平台

板卡概述 TES641是一款基于Virtex UltraScale+系列FPGA的高性能4路FMC接口基带信号处理平台,该平台采用1片Xilinx的Virtex UltraScale+系列FPGA XCVU13P作为信号实时处理单元,该板卡具有4个FMC子卡接口(其中有2个为FMC+接口),各个节点 ......
基带 信号处理 信号 接口 平台