FPGA

01 FPGA流水灯实验

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 本章课程以大家熟悉的流水灯为例子,详细讲解了V ......
流水 FPGA 01

基于FPGA的图像PSNR质量评估计算实现,包含testbench和MATLAB辅助验证程序

1.算法运行效果图预览 设置较大的干扰,PSNR=15。 设置较小的干扰,PSNR=25。 2.算法运行软件版本 matlab2022a vivado2019.2 3.算法理论概述 基于FPGA的图像PSNR(峰值信噪比)质量评估计算实现涉及到数字图像处理、硬件设计和编程等多个领域。PSNR是一种用 ......
testbench 图像 质量 程序 MATLAB

FPGA学习笔记---verilog学习(2)--过程块always@(*)

在Verilog中always@(*)语句的意思是always模块中的任何一个输入信号或电平发生变化时,该语句下方的模块将被执行。 1、always语句有两种触发方式。第一种是电平触发,例如always @(a or b or c),a、b、c均为变量,当其中一个发生变化时,下方的语句将被执行。 2 ......
过程 verilog 笔记 always FPGA

FPGA学习笔记--Verilog学习(1)

Verilog 具有很强的电路描述与建模能力,能从多个层次对数字系统进行描述和建模。因此,在简化硬件设计任务、提高设计效率与可靠性、语言易读性、层次化和结构化设计等方面展现了强大的生命力与潜力。 Verilog主要特性 可采用 3 种不同的方式进行设计建模:行为级描述——使用过程化结构建模;数据流描 ......
Verilog 笔记 FPGA

最大工作频率为32MHz,R7F100GPL2DFA、R7F100GPL3CFA低功耗MCU,10M08SAU169C8GGB MAX® 10 FPGA

RL78/G23微控制器是RL78系列的新一代产品,CPU工作时的功耗为41μA/MHz,STOP(保持4KB SRAM)时的功耗为210nA,其低功耗在业内首屈一指。10M08SAU169C8GGB 是一款功耗低、外型小巧、适合成本敏感型应用的 FPGA。 ......
功耗 F100 100 GPL 频率

【FPGA基础】状态机

状态机由状态寄存器和组合逻辑电路组成;在不同的当前状态下,能够控制信号的变化进行状态的转移,从而实现相关信号的赋值,完成特定控制时序的设计。 状态机的三个基本要素: 状态变迁(当前状态current_state和下一状态next_state 输入条件(状态变化的触发事件 输出赋值(状态机设计的主要目 ......
状态 基础 FPGA

高云FPGA的LVDS应用

本板卡提供如下例程,主要基于具体案例,聚焦于摄像头采集,LCD屏驱动显示等图像处理相关。像GPIO,CLK,LED等这种简单的操作都放到具体实例中了,不再一一介绍,常用的IP也是非常简单的操作,高云文档有些写得不太仔细,如遇到不清楚的地方可以联系官方FAE或者我这边。 3.1 LVDS的应用 LVD ......
FPGA LVDS

Gowin FPGA Designer 简介

高云 FPGA Designer 是高云 FPGA 开发的 IDE 软件,软件包不大,安装包 241M,解压Gowin_V1.9.8.07_vin 压缩包,后点击文件夹的 exe 安装包,直接一直按下一步,完成软件的安装,安装后在桌面会有如下图标 双击打开软件,进入主界面,如下 软件的使用,请参考软 ......
Designer 简介 Gowin FPGA

Cyclone V SoC FPGA 制作SD卡 image 不支持scp命令怎么办?

按照 (https://rocketboards.org/foswiki/Documentation/EmbeddedLinuxBeginnerSGuide)制作了一个image, 当我想通过Winscp 访问板子的时候提示访问被拒绝。 将开发板和windows 用一根网线连接起来, 并设置在同一个 ......
命令 怎么办 Cyclone image FPGA

Cyclone V SOC FPGA 如何将preloader uboot kernel rootfile rbf dtb uboot.scr 等文件打包成一个SD卡 .img文件 方便windows的windisk32 一键烧写呢?

首先 我按照 (https://rocketboards.org/foswiki/Documentation/EmbeddedLinuxBeginnerSGuide)制作了一个image, 将SD卡进行分区fat32, raw 和ext3,然后将preloader uboot kernel root ......
文件 uboot preloader rootfile Cyclone

基于FPGA的图像形态学腐蚀算法实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 将FPGA的仿真结果导入到MATLAB,结果如下所示: 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 基于FPGA的图像形态学腐蚀算法实现主要依赖于图像处理的基本原理和数学形态学的基础知识。在图像处理中,形态学操作被广泛应用于各种图 ......
形态学 算法 形态 图像 文件

FPGA驱动RGB888屏幕——基于正点原子达芬奇FPGA开发板

RGB888简介 重要提示:由于我没有RGB888屏幕,所以代码未经验证,但是我将其在HDMI中使用了,证明代码还是有一定的正确性 一个像素点由三种颜色控制,每个颜色8bit,共24bit,三个字节,这就是RGB888。同样的还有RGB565等。 LCD屏幕介绍 1、HSYNC(水平同步信号、行同步 ......
FPGA 原子 屏幕 888 RGB

基于FPGA的图像缩小算法实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 将FPGA的处理结果导出到matlab中显示图像效果: 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 图像放小算法主要通过抽取算法实现,常见的抽取算法最大值抽取,和均值抽取。其示意图如下所示: 以缩小一半为例,如果是最大值抽取,则在 ......
算法 图像 文件 MATLAB FPGA

m基于FPGA的OFDM系统verilog实现,包括IFFT,FFT,成型滤波以及加CP去CP,包含testbench

1.算法仿真效果 vivado2019.2仿真结果如下: CP加入,删除效果: 系统RTL结构图: 2.算法涉及理论知识概要 正交频分复用(Orthogonal Frequency Division Multiplexing,OFDM)是一种高效的无线通信技术,已经被广泛应用于无线通信领域。OFDM ......
testbench verilog 系统 FPGA OFDM

HNU FPGA毕设项目上手指南

1.介绍 本文章旨在帮助HNU的同学更优雅的完成数电的FPGA毕设(使用DE2-115),文章将涉及完成FPGA项目需要掌握的知识,资源分享以及一些关于完成项目的经验指导。大家快快搬好小板凳,准备发车吧(doge)。 2.基础知识学习指导 Verilog 想要完成FPGA的项目,那必不可少的就是Ve ......
上手指南 指南 项目 FPGA HNU

基于FPGA的图像坏点像素修复算法实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 FPGA(Field Programmable Gate Array)是一种可编程逻辑电路,可以用于实现各种数字信号处理算法。在图像处理领域,FPGA也被广泛应用于各种图像修复算法,包括 ......
算法 像素 图像 文件 MATLAB

m基于FPGA的8PSK调制解调系统verilog实现,包含testbench测试文件

1.算法仿真效果 vivado仿真结果如下: 借助matlab看8PSK的星座图: 2.算法涉及理论知识概要 随着通信技术的不断发展,相位调制技术因其高频谱效率和抗干扰能力而广泛应用于无线通信系统中。其中,8PSK(8相位相移键控)作为一种高阶调制方式,具有更高的频谱效率和更强的抗干扰能力,因此备受 ......
testbench verilog 文件 系统 FPGA

基于FPGA的图像直方图统计实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 基于FPGA的图像直方图统计实现主要是通过利用FPGA的并行处理能力,对图像中的每个像素进行统计,以计算出每个灰度级出现的次数或概率。这个过程涉及到对图像数据的快速读取、处理和存储,以及 ......
直方图 图像 文件 MATLAB FPGA

基于FPGA的RGB图像转Ycbcr实现,包括tb测试文件以及MATLAB辅助验证

1.算法运行效果图预览 将FPGA的数据导入到matlab进行显示 2.算法运行软件版本 Vivado2019.2 matlab2022a 3.算法理论概述 基于FPGA的RGB图像转Ycbcr转换的实现,主要是通过数字电路的设计,利用硬件并行处理的能力,快速完成图像数据的转换。 RGB和YcbCr ......
图像 文件 MATLAB Ycbcr FPGA

基于FPGA的图像形态学膨胀算法实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 在FPGA中仿真结果如下所示: 将FPGA中的仿真结果导入到matlab显示二维图,效果如下: 2.算法运行软件版本 matlab2022a vivado2019.2 3.算法理论概述 膨胀操作是形态学中另外一种基本的操作。膨胀操作和腐蚀操作的作用是相反的,膨胀操作能对图像的 ......
形态学 算法 形态 图像 文件

基于FPGA的数字时钟设计与实现(含源码)

随着数字电子技术的不断发展,基于FPGA(现场可编程门阵列)的数字时钟设计方案逐渐成为了一种流行的选择。本篇博客将详细介绍如何利用FPGA实现一个简单的数字时钟,涉及到分频器、数码管驱动、时分秒计数、三八译码器和扫描数码管等模块。 1. 系统设计概述 在本设计中,我们将使用FPGA来实现一个基本的数 ......
时钟 源码 数字 FPGA

RGB转YCbCr——基于《基于MATLAB与FPGA的图像处理教程》

YCbCr介绍 YCbCr由Y、Cb、Cr组成。为一种数字信号 1、Y:表示颜色的明亮度和浓度,也可叫灰度阶。(通过RGB转换YCBCR提取Y分量也可以得到灰度图像) 2、Cb:表示颜色的蓝色浓度偏移量即RGB输入信号蓝色部分与RGB信号亮度值之间的差异。 3、Cr:表示颜色的红色浓度偏移量即RGB ......
图像处理 图像 教程 MATLAB YCbCr

基于FPGA的图像白平衡算法实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 FPGA(Field-Programmable Gate Array)是一种可编程逻辑电路,可以通过编程实现各种算法,包括图像白平衡算法。图像白平衡算法是一种用于调整图像颜色温度的方法, ......
算法 图像 文件 MATLAB FPGA

【友晶科技TERASIC】【翻译】SOC FPGA的SD卡IMAGE制作——4. 生成Preloaderc

翻译原文来自:https://www.rocketboards.org/foswiki/Documentation/EmbeddedLinuxBeginnerSGuide ......
Preloaderc TERASIC IMAGE 科技 FPGA

英特尔oneAPI的FPGA环境激活命令

背景 编译报错 OpenCL platform ID is empty OpenCL platform name is empty Failed to find any of these OpenCL platforms: Intel(R) FPGA Emulation Platform for O ......
激活 命令 环境 oneAPI FPGA

FPGA入门笔记007_A——按键消抖模块设计与验证(状态机、$random、仿真模型、task语法)

实验现象: 每次按下按键0,4个LED显示状态以二进制加法格式加1。 每次按下按键1,4个LED显示状态以二进制加法格式减1。 知识点: 1、testbench中随机数发生函数$random的使用; 2、仿真模型的概念 1、按键波形分析: 按键未按,FPGA管脚检测到高电平。 按键按下,FPGA管脚 ......
语法 按键 模块 模型 状态

FPGA 实现SPI 主机双工通信 CS前后肩可调 操作时钟频率可调 ,SPI模式可调,传输位宽可调(最大32位)

1 //testbench 2 `timescale 1ns/1ns 3 module lcd_spi_m_tb(); 4 reg rst_n_i; 5 reg spi_clkx_i; 6 reg [31:0] spi_data_i; 7 reg spi_start; 8 reg spi_miso_ ......
时钟 SPI 频率 主机 模式

哇塞,实测780MB/s!基于RK3568J与FPGA的PCIe通信案例详解

ARM + FPGA架构有何种优势 近年来,随着中国新基建、中国制造2025的持续推进,单ARM处理器越来越难满足工业现场的功能要求,特别是能源电力、工业控制、智慧医疗等行业通常需要ARM + FPGA架构的处理器平台来实现特定的功能,例如多路/高速AD采集、多路网口、多路串口、多路/高速并行DI/ ......
案例 3568J 3568 FPGA PCIe

基于LUT查找表方法的图像gamma校正算法FPGA实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 将gamma=2.2和gamma=1/2.2的数据分别导入到matlab进行对比: 2.算法运行软件版本 matlab2022a 3.算法理论概述 基于LUT查找表方法的图像gamma校正算法是一种用于改善图像显示效果的技术,它通过对图像像素的灰度值进行非线性变换,使得图像在 ......
算法 图像 文件 方法 MATLAB

基于FPGA的图像sobel锐化实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 将FPGA的仿真结果导入到matlab显示图像效果 2.算法运行软件版本 MATLAB2022a,vivado2019.2 3.算法理论概述 基于FPGA的图像Sobel锐化实现,是一种通过使用Sobel算子对图像进行边缘检测,从而提高图像清晰度的方法。Sobel算子是一种常 ......
图像 文件 MATLAB sobel FPGA
共300篇  :2/10页 首页上一页2下一页尾页