基于FPGA的图像sobel锐化实现,包括tb测试文件和MATLAB辅助验证

发布时间 2023-11-28 22:58:30作者: 简简单单做算法

1.算法运行效果图预览

 

 

 

将FPGA的仿真结果导入到matlab显示图像效果

 

 

 

2.算法运行软件版本

MATLAB2022a,vivado2019.2

 

3.算法理论概述

        基于FPGA的图像Sobel锐化实现,是一种通过使用Sobel算子对图像进行边缘检测,从而提高图像清晰度的方法。Sobel算子是一种常用的边缘检测算子,它可以通过计算图像像素点周围像素的灰度值差异,来检测出图像的边缘。

 

实现步骤:

 

1.图像预处理:将输入的图像转换为灰度图像。这个步骤可以通过将RGB图像中的每个像素点的RGB值转换为灰度值实现。转换公式如下:

 

Gray = 0.2989 * R + 0.5870 * G + 0.1140 * B

 

其中,Gray是转换后的灰度值,R、G、B分别是原像素点的RGB值。

2. Sobel算子计算:根据Sobel算子,计算图像中每个像素点的梯度幅值和方向。Sobel算子包含水平和垂直两个方向的算子,分别用于计算像素点在水平和垂直方向的梯度。

 

水平方向算子:

 

[-1, 0, 1; -2, 0, 2; -1, 0, 1]

 

垂直方向算子:

 

[-1, -2, -1; 0, 0, 0; 1, 2, 1]

 

对于每个像素点,用周围的像素点与其对应的算子进行卷积计算,得到该像素点在水平和垂直方向的梯度。然后,根据以下公式计算该像素点的梯度幅值和方向:

 

梯度幅值 = sqrt(Gx^2 + Gy^2)

 

梯度方向 = arctan(Gy / Gx)

 

其中,Gx和Gy分别是像素点在水平和垂直方向的梯度。

 

3.锐化:将sobel的计算结果和原始图像相加得到锐化结果。

 

       以上是基于FPGA的图像Sobel锐化的实现步骤和对应的数学公式。需要注意的是,在实现过程中需要考虑FPGA的硬件资源和性能限制,例如存储器容量、计算单元的数量等。因此,可能需要对上述算法进行一些优化或调整,以适应FPGA的硬件环境。

 

 

 

 

4.部分核心程序

module test_image;
 
reg i_clk;
reg i_rst;
reg [7:0] Buffer [0:100000];
reg [7:0] II;
wire [9:0] o_sobel;
integer fids,idx=0,dat;
 
 
//D:\FPGA_Proj\FPGAtest\code_proj\project_1\project_1.srcs\sources_1
initial 
begin
	fids = $fopen("D:\\FPGA_Proj\\FPGAtest\\code_proj\\test0.bmp","rb");
	dat  = $fread(Buffer,fids);
	$fclose(fids);
end
 
 
 
initial 
begin
i_clk=1;
i_rst=1;
#1000;
i_rst=0;
end 
 
always #5 i_clk=~i_clk;
 
always@(posedge i_clk) 
begin
	II<=Buffer[idx];
	idx<=idx+1;
end
 
 
tops tops_u(
.i_clk           (i_clk),
.i_rst           (i_rst),
.i_I             (II),
.o_sobel_RUIHUA  (o_sobel)
);
 
integer fout1;
initial begin
 fout1 = $fopen("SAVEDATA.txt","w");
end
 
always @ (posedge i_clk)
 begin
    if(idx<=66627)
	$fwrite(fout1,"%d\n",o_sobel);
	else
	$fwrite(fout1,"%d\n",0);
end
 
endmodule