ICC - 数据准备

发布时间 2023-06-13 16:17:59作者: 可达达鸭

1. 需要准备好的文件

  • 逻辑层:需要.db、.sdc、.v文件
    • .db:通常与DC使用的库是相同的,提供std_cell、IO、Macro时序、功耗和面积等信息,包含器件延迟,工艺角等信息。
    • .sdc:由DC导出,可人工修改,使其满足设计要求。
    • .v:由DC导出,门级网表文件。
  • 物理层:需要.mw、.tf、TLU文件
    • Milkway:记录工艺和标准单元、macro、pad cell物理相关信息,以及这些单元在布局时需要的最小间隔和对绕线的要求等。
    • physical library:典型的ICC流程中,物理库的信息是被包含在Milkyway数据库中。
    • .tf:工艺文件。
    • TLU+:RC寄生模型文件,用于计算互连线RC,一般有max和min两个corner的建模。由工艺厂商提供,但如果工艺厂商仅提供了itf文件,可以通过工具StarRC将itf文件转换成Tluplus文件
  • IP库:.sldb文件
    • synthetic_library:DesignWare的可重用IP库,将运算符号转换成特定的库。

2. 指定库文件

  • set link_library:为网表中的每个子设计在ICC内存中找到相应的设计。
  • set target_library:与DC一致,使用link library进行翻译,优化后映射到目标库中。
  • set_min_library:同时指定bestcase用作保持时间分析以及指定worstcase用作建立时间分析。
set_min_library "./wc_ccs.db" -min_version "./bc_ccs.db"

3. 检查物理库和逻辑库的一致性(check_library)

  • 默认检查命令:check_library
  • 完整检查命令:set_check_library_options -all (还会检查UPF power的约束,MMMC,CCS电流源模型等)

4. 设置0互联延时

  • 首先在最理想的情况下看是否存在setup的违规,如果违规则应返回前端修改设计。
set_zero_interconnect_delay_mode true
report_timing -delay_type max
set_zero_interconnect_delay_mode false