HDLBits —— Edge Capture Register

发布时间 2023-06-08 00:37:13作者: superego_zhang

要求

  对于32bits向量中的每一位,当输入信号由1变为0时进行检测(即下降沿检测)。其中检测表示在复位信号(同步)到达前,输出将保持1。 每一个输出位就像一个RS触发器,即当对应位出现1 to 0的变化时,输出位将置1;而当reset信号为高电平,输出位将在下一个时钟的上升沿被复位。如果信号的下降沿和reset事件在同一时刻发生,将优先执行复位操作。在下图示例波形的最后4个时钟周期中,reset事件比‘set’事件早一个周期出现,因此这里没有前述冲突。 为清楚起见,in[1]和out[1]在波形中分别单独显示。

 

 

解析

  首先电路需要检测下降沿,其次在检测到的下降沿所在位进行置位操作,同时还不能影响到其他已置位的输出位。

  | out 使之没有下降沿信号保持高电平,这是对 Capture的理解。

参考:

  https://hdlbits.01xz.net/wiki/Edgecapture

  https://zhuanlan.zhihu.com/p/61695679