Vivado生成bitstream时报错[Opt 31-67] Problem: A LUT3 cell in the design is missing a connection on input pin I1, which is used by the LUT equation

发布时间 2023-10-20 16:08:26作者: Xxaj5

这个原因主要是因为有一个引脚没有用到,解决方法。

1、打开Schematic。
2、根据提示的模块去找,比如说我的报错。
[Opt 31-67] Problem: A LUT3 cell in the design is missing a connection on input pin I1, which is used by the LUT equation. This pin has either been left unconnected in the design or the connection was removed due to the trimming of unused logic. The LUT cell name is: fft_inst/xfft_0_inst/U0/i_synth/axi_wrapper/gen_status_channel.status_fifo/gen_non_real_time.fifo/fifo0/add_1[3]_i_2.
重点就在cell name后,可以根据这个路线一直找到出错的模块add_1[3]_i_2。
3、找到后边就会有一堆LUT,肉眼不太好找了,所以可以选择使用TCL命令查找,比如我现在在axi_wrapper中找gen_status_channel.status_fifo这个模块,那么我就可以在TCL console中输入命令:

select_objects [get_cells fft_inst/xfft_0_inst/U0/i_synth/axi_wrapper/gen_status_channel.status_fifo

然后这样vivado就可以自动把模块高亮出来。

4、最后找到出问题的模块就可以找到出问题的端口,我的出问题的端口在上面有提示on input pin I1,也就是l1端口,因此从l1端口顺着往前找顶层模块的端口即可发现问题。