SDC语法

发布时间 2024-01-12 11:03:43作者: 白发戴花君莫笑

最后修改日期:2024/01/12


SDC软件即Synopsys Design Compiler,其对应的时序约束文件为Synopsys Design Constraint,缩写都是SDC。

SDC软件配置的SDC语法

SDC语法本质上是特殊的TCL语句,因此TCL语句都可以在SDC中使用,不过SDC还有一些TCL没有的语句。

SDC软件的使用

SDC实际上是有GUI界面的,但是好不好用不清楚,我觉得在综合完之后用来看一下网表原理图还是可以的,总比直接看网表verilog要直观的。
方法是,首先找到SDC安装路径(这一点无论是否是GUI都是一样的):

#dc
export PATH="/cdsmgr/synopsys/syn/O-2018.06-SP1/bin:"$PATH
export DC_HOME=/cdsmgr/synopsys/syn/O-2018.06-SP1

找到路径后可以用SDC自带的命令design_vision,在不加参数情况下是默认进入GUI环境的。GUI没有过多摸索怎么用,我都是在里面直接source脚本运行。这部分可以参考Synopsys DC ug-dvug(design vision ug)

dvug文件