fancytimer

review2015_fancytimer

1 module review2015_fancytimer ( 2 input clk, 3 input reset, // Synchronous reset 4 input data, 5 output [3:0] count, 6 output counting, 7 output done ......
fancytimer review 2015
共1篇  :1/1页 首页上一页1下一页尾页