feof

SystemVerilog读取文件的一个有趣现象(feof多读一次的问题)

在学习SystemVerilog读取文件时,练习读取自身的代码,代码如下: 1 module Test; 2 int file; 3 4 initial 5 begin 6 string s; 7 file = $fopen("TestFile.sv", "r"); 8 while(!$feof(f ......
SystemVerilog 现象 文件 问题 feof
共1篇  :1/1页 首页上一页1下一页尾页