uvm_config_db

解读uvm_config_db中的set和get方法

一、uvm_config_db简介 uvm_config_db的全称是configuration database,它是建立在 uvm_resource_db 之上的便利层,resource database储存的是与scope字符串相关联的name-value对(如下图所示) 。 uvm_conf ......
uvm_config_db 方法 config uvm set
共1篇  :1/1页 首页上一页1下一页尾页