vcs_dump波形相关选项

发布时间 2023-10-11 16:39:45作者: 编程驴子

VCS dump波形相关选项

1 vcs选项

-debug_access+all # 允许dump波形?
-debug_region+cell+encrypt # 允许dump cell的波形(stdcell, memory cell等)

2 force tcl中的选项

fsdbDumpfile "./vcs.fsdb"
fsdbDumpvars 0 TB
fsdbDumpon
fsdbDumpMDA ; #允许dump二维数组的波形

force TB.xx.a 4'b1000

run