UVM_intorduce

发布时间 2023-12-07 16:18:11作者: hc_zheng

什么是UVM?它的优势是什么?

uvm是一个设计验证方法学,它的主要优点有复用性高,即插即用,通用性和独立性高,支持覆盖驱动,支持随机约束等等

首先在top_tb文件中加入

import uvm_pkg::*;
`include "uvm_macros.svh"

UVM有哪些机制?

factory机制、config_db机制、phase机制、object机制、sequnece机制、reporting机制、寄存器和事务级建模

一些函数

get_type_name() 返回类名

get_name() 返回创建对象赋予的字符串

get_full_name() 在component中返回类的完整路径,在object中返回字符串
`uvm_info("class name","string",UVM_LOW|UVM_MUDIUM|UVM_HIGH)

 

如何打印拓扑结构:

在case里的connect_phase里定义:uvm_top.print_topology();