文件名 漏洞iis shortname

vue解析上传的json文件信息

1.模版 <a-upload name="layoutFile" v-model:file-list="fileList" @change="importModules" accept="*" :showUploadList="false" :customRequest="() => {}" :he ......
文件 信息 json vue

墨者学院—Webmin未经身份验证的远程代码执行漏洞

一、概述 Webmin是目前功能最强大的基于Web的Unix系统管理工具。管理员通过浏览器访问Webmin的各种管理功能并完成相应的管理动作。据统计,互联网上大约有13w台机器使用Webmin。当用户开启Webmin密码重置功能后,攻击者可以通过发送POST请求在目标系统中执行任意命令,且无需身份验 ......
漏洞 未经 身份 代码 学院

Linux文件权限

一:基本权限UGO 权限对象: u:属主(拥有者) g:属组(拥有者同组) o:其他人(其他用户) 特殊对象:a:所有人(u+g+o) 权限类型: 符号表示 数字表示 说明 符号表示 数字表示 说明 r 4 只读 rx 5 读和执行 w 2 只写 wx 3 写和执行 x 1 只执行 rwx 7 读、 ......
权限 文件 Linux

npm、yarn、pnpm 如何删除缓存文件?

1.简介 在前端工程化的环境下,频繁的安装、更新、移除依赖,总会产生一些不活跃的 npm 依赖包,一直隐藏在某个角落里。 2.NPM 2.1.查看缓存路径 npm config get cache 2.2.删除缓存 npm cache clean --force 3.YARN 3.1.查看缓存路径 ......
缓存 文件 yarn pnpm npm

【Nginx/IIS】解决uniapp/Vue history模式下页面刷新404

uniapp/Vue 开启History模式 本地开发:二级页面刷新或者通过链接进入二级页面是正常的 打包部署后:二级页面刷新或者通过链接进入二级页面会报错404页面找不到 解决方案: Nginx配置: 在nginx.conf的对应location里配置一行代码 try_files $uri $ur ......
history 模式 页面 uniapp Nginx

使用jsfpd截图并上传到文件服务器

需求 将网页上的html表单,截图并生成pdf ,通过blob 上传到文件服务器 // 获取表单元素dom const el = document.getElementById('open-reply_form'); const height = el.clientHeight; // 截图,获取i ......
截图 服务器 文件 jsfpd

在使用openpyxl库操作带有合并单元格的Excel文件时,插入新的行或列可能会导致后面的数据错乱。这是因为openpyxl库在插入或删除行或列时,不会自动更新合并单元格的范围

在使用openpyxl库操作带有合并单元格的Excel文件时,插入新的行或列可能会导致后面的数据错乱。这是因为openpyxl库在插入或删除行或列时,不会自动更新合并单元格的范围1。 解决这个问题的一种方法是在插入新的行或列之前,先保存所有合并单元格的范围,然后在插入新的行或列之后,根据需要更新这些 ......
单元 openpyxl 范围 文件 这是

.NET 8应用的命名空间默认改成文件范围限定

目前.NET的新特性,命名空间可以改成文件范围限定的方式。如下所示: namespace TempUrl.ViewModels; public class ViewModelBase : ReactiveObject { } 要默认改成这种方式,可以采取下面的配置方式: VS2022,选中工程,右键 ......
范围 文件 空间 NET

C#实现文件上传下载

使用C#编写一个简单的文件上传和下载功能很简单,只要掌握了一些关键点和易错点就足够在很短的时间内设计一个实用的文档管理页面。 第一部分:在前台aspx内嵌入一条上传语句并给出一个button,不要忘记给外表单添加(runat=”server”),不然后台是接收不到前台传入的信息,代码如下: <inp ......
上传下载 文件

Go 的 go.mod文件

首先每个项目都有个家就是根目录,项目所有的包啊文件都在根目录下面,goland使用go.mod方式构建项目时,帮我们创建了一个go.mod配置文件。 为什么要有个配置文件,因为我们开发的时候需要调用一些外部包,就比如Go提供的log日志包, 这个包写的比较简单,不足以满足我日常开发需要的功能,所以我 ......
文件 mod Go go

java使用ftp连接linux处理文件

1、Maven依赖 <!-- FTP使用包 --> <dependency> <groupId>commons-net</groupId> <artifactId>commons-net</artifactId> <version>3.6</version> </dependency> 2、使用方式 ......
文件 linux java ftp

【linux编程-55】文件加锁

文件加锁 1. 例子 #include <stdio.h> #include <stdlib.h> #include <fcntl.h> #include <unistd.h> void lock_set(int fd, int type) { struct flock lock; lock.l_w ......
文件 linux 55

【pysmb】smb远程共享下载文件的工具类

from smb.SMBConnection import * class SMBClient: """ SMBClient类,用于连接smb服务器,获取文件 :ip, :port(445 or 139), :username: 用户名. :password: """ def __init__(se ......
文件 工具 pysmb smb

7、QFileDialog类文件对话框

QFileDialog类(文件对话框) #ifndef DIALOG_H #define DIALOG_H #include <QDialog> #include <QLabel> #include <QLineEdit> #include <QPushButton> #include <QHBox ......
对话框 QFileDialog 文件

jdk配置和源文件编译执行

1.jdk环境变量配置 1.“系统属性”--“高级”--“环境变量”,打开环境变量窗口 2.新增系统变量:JAVA_HOME 变量名:JAVA_HOME 变量值:C:\Program Files\Java\jdk1.8.0_162 3.新增系统变量:CLASSPATH 变量名:CLASSPATH 变 ......
源文件 jdk

saveAs 生成文件

function download() { var content = 'Hello World!'; var blob = new Blob([content], {type: 'text/plain'}); saveAs(blob, 'file.txt'); } ......
文件 saveAs

基于FPGA的图像坏点像素修复算法实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 FPGA(Field Programmable Gate Array)是一种可编程逻辑电路,可以用于实现各种数字信号处理算法。在图像处理领域,FPGA也被广泛应用于各种图像修复算法,包括 ......
算法 像素 图像 文件 MATLAB

Python中级之文件操作

文件操作 【一】基本用法 在 Python 中,文件处理是常见的任务之一。以下是一些基本的文件处理操作和对应的 Python 语法: 打开文件: 使用 open() 函数来打开一个文件,可以指定文件名、打开模式和字符编码(可选)。 # 打开文件(只读模式) file = open('example. ......
文件 Python

BAT检测Windows服务停止后自动启动?系统自动运行.bat文件?

作者:西瓜程序猿 主页传送门:https://www.cnblogs.com/kimiliucn 前言 想要确保你的Windows服务即使在崩溃后也能自动重启吗?这篇文章教你如何用一个小巧的批处理脚本来实现这一点。教你怎么设置定时检查,如果发现服务挂了,就立刻拉起来。跟着步骤做,让你的服务稳定运行不 ......
Windows 文件 系统 BAT bat

m基于FPGA的8PSK调制解调系统verilog实现,包含testbench测试文件

1.算法仿真效果 vivado仿真结果如下: 借助matlab看8PSK的星座图: 2.算法涉及理论知识概要 随着通信技术的不断发展,相位调制技术因其高频谱效率和抗干扰能力而广泛应用于无线通信系统中。其中,8PSK(8相位相移键控)作为一种高阶调制方式,具有更高的频谱效率和更强的抗干扰能力,因此备受 ......
testbench verilog 文件 系统 FPGA

c# 文件上传与下载

文件上传: API 上传的方法: //上传的文件格式 public string[] ExtentsfileName = new string[] { ".doc", ".xls", ".png", ".jpg" }; //路径 public string UrlPath = "/Upload/"; ......
文件

Linux编写Shell脚本获取指定目录下所有文件并处理

Linux编写Shell脚本获取指定目录下所有文件进行处理并保存到新目录 #!/bin/bash app_name="shell" path="/dir" #原目录 last_path="/newDir" #新目录 echo " start ${app_name} " if [ -n "$(ls $ ......
脚本 文件 目录 Linux Shell

mysql命令导入csv文件

准备csv文件 创建表 使用ssh工具登录虚拟机服务器,将user.csv上传到服务器 [root@master data]# pwd /home/data [root@master data]# ls user.csv # 开始导入 [root@master data]# mysqlimport ......
命令 文件 mysql csv

ASP.NET Web API实现简单的文件下载与上传

ASP.NET Web API实现简单的文件下载与上传。首先创建一个ASP.NET Web API项目,然后在项目下创建FileRoot目录并在该目录下创建ReportTemplate.xlsx文件,用于下面示例的使用。 1、文件下载 示例:实现报表模板文件下载功能。 1.1 后端代码 /// <s ......
文件下载 文件 ASP API NET

IIS 漏洞Http.sys 远程代码执行

漏洞描述 弱点描述: 在微软 4 月 14 日补丁日发布的补丁中,有一个针对 IIS 服务 器的远程代码执行漏洞危害非常大,安恒信息提醒广大用户 注意。 漏洞信息 远程执行代码漏洞存在于 HTTP 协议堆栈 (HTTP.sys) 中, 当 HTTP.sys 未正确分析经特殊设计的 HTTP 请求时会 ......
漏洞 代码 Http IIS sys

git add .加错了,要删除掉加到staged(缓冲区)的文件

场景 写了gitignore,但是漏写了不少东西,结果很多不想加进去的东西也被git add了,此时还没有commit,想把刚刚add的东西去掉,不要让他commit进去 使用 使用 git rm。 一种是 git rm --cached "文件路径",不删除物理文件,仅将该文件从缓存中删除; 一种 ......
缓冲区 文件 staged git add

文件目录类命令

pwd 显示当前目录的绝对路径 基本语法ls [选项] [目录或是文件]列出目录内容,不指定目录则默认当前目录选项说明-a,全部的文件,连同隐藏文档。-l,长数据串列出,包含文件属性与权限等等数据,等价于“ll”显示说明每行列出的信息依次是:文件类型与权限,链接数,文件属主,文件数组,文件大小用by ......
命令 文件 目录

Maven 环境变量配置 'mvn' 不是内部或外部命令,也不是可运行的程序或批处理文件

在cmd里面输入对应的mvn命令出现了这行提示,说明你的maven环境变量配置有问题,需要重新配置 1、添加 M2_HOME 和 MAVEN_HOME 在系统变量里面添加M2_HOME 和 MAVEN_HOME ,都指向你的本地maven安装路径 2、添加用户变量PATH中 3、校验 在cmd中输入 ......
变量 命令 环境 文件 程序

定时备份和scp文件

1.编写一次性备份脚本; #export s 2023120430 21 07 12 * /bin/sh /home/oracle/s/export_1204.sh &> /dev/null vi /home/oracle/s/export_1204.sh #!/bin/bashsource /ho ......
备份 文件 scp

MarkdownPad 文件访问权限受限导致软件打开后不久闪退解决方法

近几天发现MarkdownPad有一些小问题,打开时会弹出以下报错信息,告诉你打开文件的权限不够 解决方法如下: 1、复制报错信息中的文件路径'C:\Users\Administrator\AppData \Roaming\wyUpdate AU\ApricitySoftware-MarkdownP ......
MarkdownPad 权限 文件 方法 软件
共11300篇  :37/377页 首页上一页37下一页尾页