自动生成 插件 案例idea

idea使用platuml画时序图&类图

频繁的写代码,也需要偶尔驻足消停。 今天给大家带来的不是什么java代码示例,而是带来增加软实力的画图技能(platuml代码示例)。纵观所有画uml图的软件,既不收费也对Java开发友好的软件,目前认知范围内非platuml莫属。 官网地址:https://plantuml.com/zh/ git ......
时序 platuml idea amp

回文串和回文自动机

## 1 PAM 简介 ### 1.1 PAM 的形式 PAM 是一个自动机,它的普通边组成了两棵树,fail 边组成了一棵树。 这两棵普通树分别表示主串中所有奇数长度的回文串和偶数长度的回文串,其根节点分别叫做“奇根”和“偶根”。普通边上有字母(类似 trie/SAM 的普通边,都是存 $\sum ......
回文 自动机

RocketMQ 在小米的多场景灾备实践案例

支持机房灾备需要增加额外的成本,下面我将用三个灾备部署的实践案例,讲解小米如何在成本和可用性的取舍上去支持灾备。 ......
小米 RocketMQ 场景 案例

【mysql】根据数据库现有的索引生成删除和新增索引的语句

#生成创建索引语句 SELECT CONCAT('ALTER TABLE ',TABLE_SCHEMA,'.',TABLE_NAME,' ADD ',CASE WHEN NON_UNIQUE=0 THEN 'UNIQUE' ELSE '' END,' INDEX ',INDEX_NAME,'(',G ......
索引 语句 数据库 数据 mysql

Springboot的自动装配原理解析

一、@SpringBootApplication注解 该注解的作用是用来标识一个springboot应用程序的主启动类的,它也是springboot程序的启动入口。从源代码中可以发现,该注解内部也是有好多个注解加以标注的,包含: @Target:表示该注解可以作用在哪里(如ElementType.T ......
Springboot 原理

java 函数传递多参数案例

``` public class WrapperClassDemo1 { public static void main(String[] args){ printMax(3,3,32,34.2); printMax(new double[]{1,2,3}); } public static voi ......
函数 参数 案例 java

python生成13位或16位时间戳以及反向解析时间戳

import datetimeimport timedef get_float_time_stamp(): datetime_now = datetime.datetime.now() return datetime_now.timestamp()def get_time_stamp16(): # ......
时间 python

雪花算法生成ID传输前后端丢失精度 雪花Id(snowflake)主键(Long)

参考文档1: `https://blog.csdn.net/weixin_48841931/article/details/127966871` 参考文档2: `https://huaweicloud.csdn.net/63a00434dacf622b8df91061.html?spm=1001.2 ......
雪花 精度 算法 snowflake Long

【Idea】控制台输出中文乱码

控制台中文乱码 方法一:文件-设置-编辑器-文件编码 示例:UTF-8 方法二:文件-设置-构建……-构建工具-maven-运行程序 添加VM选项: -Dfile.encoding=GB2312 方法三:帮助-编辑自定义VM选项 添加编码格式: -Dfile.encoding=utf-8 方法四:运 ......
控制台 乱码 Idea

Vue2 到 Vue3 升级插件gogocode-plugin-vue

配合gogocode-cli使用 开始迁移 ​Vue3的到来为我们带来了许多惊喜的变化,但是由于Vue3对于Vue2在Api层面存在诸多兼容问题,并不能做到平滑升级。所以我们根据v3迁移指南利用gogocode这个代码转换利器,利用它操作AST,开发了一套Vue2升级工具。利用这套工具能够快速地把你 ......

docker-compose 自动部署apollo

# 1.痛点描述 某个业务根据场景的不同,业务部署方式有两种选择: 1. 使用三台主机组 k8s 部署 2. 单机使用 docker-compose 进行部署。 业务服务是通过 java springboot 框架编写的。每个微服务的系统端口配置及相互调用配置都写在 `application.yml ......
docker-compose compose docker apollo

【UE4】插件与模块

一、什么是插件与模块 模块是实现某一个或一类功能的集合,当模块足够独立和庞大、复杂之后,可以将其提升为插件。UE4引擎就是由众多模块组成,而插件也可以包含一个或多个模块,但模块却不能包含插件。相对于模块来说,插件具有更高的独立性,除使用引擎模块外,一般不使用其它插件或模块。并且插件可以非常方便地移植 ......
插件 模块 UE4 UE

UE4插件与一些编辑器扩展总结

前言: 主要是最近的一些实习工作与此相关,于是总结一下,也方便后续自己回顾。 非常感谢大钊老师的一个视频分享: [中文直播]第12期 | 虚幻C++进阶之路 | Epic 大钊_哔哩哔哩_bilibili​ www.bilibili.com/video/BV1C7411F7RF .uplugin与. ......
编辑器 插件 UE4 UE

OpenAPI document 生成实体类

根据 OpenAPI document 生成.net 类 包括Controller class Entity class 支持输入yaml/json 工具下载地址: NSwagStudio.msi https://github.com/RicoSuter/NSwag/releases ......
实体 document OpenAPI

JWT加签从密钥库获取密钥对完成对JWT的签名,密钥库生成步骤

​ 最近再用jwt生成token,记录一下使用jdk生成秘钥库步骤,方便之后查询使用 1、生成密钥库脚本命令(在本地jdk目录bin目录下,窗口路径输入cmd会车) keytool -genkey -alias jwt -keyalg RSA -keypass 123456 -keystore jw ......
密钥 JWT 步骤

利用Idea反编译源码

前言偶尔我们在工作中,会遇到需要将别人给的字节码jar包反编译进行修改或者学习。所以记录了这反编译包的笔记! 找个源码jar包例如:下面用这个 test.jar 源码包做反编译测试。从下图可以看出他的解压文件是以 .class 结尾的。这是字节码文件。接下来把他转为 .java 的源代码文件。 插件 ......
源码 Idea

Java开发笔记之idea的svn报错 unknown error

0x00 情况描述 svn在win桌面运行正常,checkin和checkout无异常报错; 但是在idea内的svn,每次update的时候或者自动同步的时候,会出现unknown error报错,导致无法正常检查代码更新; idea版本为2021.3,请检查自己的情况是否符合上面的问题,再进行下 ......
unknown 笔记 error Java idea

Python自动化办公对每个子文件夹的Excel表加个表头(Excel不同名)(下篇)

大家好,我是皮皮。 ### 一、前言 上一篇文章,我们抛出了一个问题,这篇文章来进行解答。如果针对子文件夹下不同的Excel表名,应该如何处理?要求一步到位。 ### 二、实现过程 我们继续问ChatGPT,它给出了一个方法,如下所示: 提问如下:假如你是一名Python程序员,现在你有一个自动化办 ......
表头 Excel 下篇 个子 文件夹

网页的快捷方式打开自动全屏--Chrome、Firefox 浏览器相关设置

Firefox 的全屏方式与 Chrome 不同,Chrome 自带全屏模式以及APP模式,通过简单的参数即可设置,而Firefox暂时么有这个功能,Firefox 的全屏功能可以通过全屏插件实现。 全屏模式下,按 F11 不会退出全屏,鼠标移动到屏幕上方也不会提示退出全屏 如果当前运行着其它的 C ......
浏览器 Firefox 方式 网页 Chrome

聊聊如何利用spring插件来实现策略模式

## 前言 偶然的机会发现spring有个spring-plugin,官网对它的介绍是 > Spring Plugin provides a more pragmatic approach to plugin development by providing the core flexibility ......
插件 策略 模式 spring

Jenkins实战-钉钉构建提醒插件的二次开发

本篇我们来讨论下关于jenkins社区中钉钉提醒这个插件的二次开发过程。为什么需要二次开发呢,很简单,这个插件只是提供了最基本的构建后的提醒,有时候,我们需要一些额外的功能。例如,我们在打完app的包后,利用这个插件是可以发送提醒,但是点击标题,这个插件默认跳转的是jenkins上当前构建号的页面。 ......
实战 插件 Jenkins

Python自动化办公对每个子文件夹的Excel表加个表头(Excel不同名)(上篇)

大家好,我是皮皮。 ### 一、前言 上一篇文章,我们抛出了一个问题,这篇文章来进行解答。如果针对子文件夹下不同的Excel表名,应该如何处理? ### 二、实现过程 我们继续问ChatGPT,它给出了一个方法,如下所示: 提问如下:假如你是一名Python程序员,现在你有一个自动化办公的需求,你桌 ......
表头 Excel 上篇 个子 文件夹

webpack-安装和配置webpack-dev-server这个插件

# webpack插件的作用 通过安装和配置第三方的插件,可以`拓展webpack的能力`,从而让webpack`用起来更方便`。最常用的webpack插件有如下两个: 1. `webpack-dev-server` - 类似于node.js阶段用到的nodemon工具 - 每当修改了源代码,web ......
webpack webpack-dev-server 插件 server dev

springmvc的流程及简单案例

## springmvc概念 JavaEE[体系结构](https://so.csdn.net/so/search?q=%E4%BD%93%E7%B3%BB%E7%BB%93%E6%9E%84&spm=1001.2101.3001.7020)包括四层,从上到下分别是应用层、Web层、业务层、持久层。 ......
springmvc 流程 案例

idea 中springboot同一服务启动不同端口号

>1. 编辑服务配置 ![](https://img2023.cnblogs.com/blog/3121974/202305/3121974-20230522205603192-1098017919.png) >2.copy原服务配置一份 ![](https://img2023.cnblogs.co ......
springboot 口号 idea

drf自动生产路由

### 自动生产路由 ```python # ReadOnlyModelViewSet:两个接口,list和retrieve from rest_framework.viewsets import ReadOnlyModelViewSet class BookLIstretrieveView(Rea ......
路由 drf

Asp.Net MVC实现文字,链接生成二维码

1、创建MVC项目 2、添加NuGet程序包:ThoughtWorks.QRCode ![](https://img2023.cnblogs.com/blog/2189169/202305/2189169-20230522203702423-585497486.png) 3、在控制器书写对应代码 [ ......
文字 链接 Asp Net MVC

drf之2个视图基类,5个视图扩展类,9个视图子类,视图集,自动生成路由

[toc] # 一、视图之两个视图基类 ## Generic知识总结: ### 视图类: -APIView:之前用过 -GenericAPIView:GenericAPIView继承了APIView ### GenericAPIView -类属性: queryset:要序列化的所有数据 serial ......
视图 子类 自动生成 路由 图集

2个视图基类,5个视图扩展类,9个视图子类,视图集,自动生成路由

# 考 ![image](https://img2023.cnblogs.com/blog/3095533/202305/3095533-20230522195646313-121382170.png) p.name改变的是p对象自己的属性所以 p.name = '彭于晏' p1.name = lq ......
视图 子类 自动生成 路由 图集

记一次IDEA运行maven命令异常退出,Process finished with exit code -1073741819 (0xC0000005)

系统是基于ARM64的win11,问题根源也不是网传的金山毒霸,出问题的也不是我。 起因,我一学弟想在他的微软surface pro上装IDEA学java,然后给他整了个i586版本的jdk(也就是32位jdk). 后面他学习的时候用到tomcat,然后一运行项目啊,发现tomcat是64位,32位 ......
1073741819 finished 命令 0000005 Process