时序

Docker:docker部署influxdb时序数据库

拉取镜像 # 拉取镜像 docker pull influxdb:2.1.1 启动镜像 # 创建并启动容器 docker run --name influxdb -p 8086:8086 --restart always -e DOCKER_INFLUXDB_INIT_USERNAME=admin ......
时序 influxdb 数据库 数据 Docker

VIVADO 时序约束3

1.查看时序报告 对于intra-clock 小于300ps,inter-clock小于500ps 2.如果异步复位信号的撤销时间在Trecovery(恢复时间)和Tremoval(移除时间)之内,那势必造成亚稳态的产生,输出在时钟边沿的Tco后会产生振荡,振荡时间为Tmet(决断时间),最终稳定到 ......
时序 VIVADO

时序约束2 常用指令

外部时钟输入的约束如下: create_clock -period (clock period) -name (clock name) -waveform { (Traise), (Tfall) } [get_ports (clock port name)] 已建立的时钟改名 create_gene ......
时序 指令 常用

vivado 时序约束1

1.常见的xdc约束命令 2.对异步时钟进行时序约束 对异步时钟组和时钟域交汇进行约束 在“Clock Interaction”(时钟交互)报告中可快速明确异步关系:无公用基准时钟的时钟对或者无公共周期(未扩展)的时钟对。即使时钟周期相同,从不同时钟源生成的时钟仍为异步关系。必须仔细审查异步“Clo ......
时序 vivado

06 Verilog语法_时序控制与语句块

软件版本:无 操作系统:WIN10 64bit 硬件平台:适用所有系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 1概述 本节讲解时序控制语句相关的语法与几种语句块的使用介绍,需要掌握时序控制的规则和顺序块、并行块、命名块、嵌套块的使用。 2时序控制简介 V ......
时序 语句 语法 Verilog 06

时序优化小技巧

时序优化小技巧 总结来自公众号 数字IC自修室 https://mp.weixin.qq.com/s/9-BBNo2nxS81t_WLn2FWBg 关键路径通常是指同步逻辑电路中,组合逻辑时延最大的路径(这里我认为还需要加上布线的延迟),也就是说关键路径是对设计性能起决定性影响的时序路径。 尽可能的 ......
时序 技巧

23 VTC视频时序控制器设计

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 Video Timing Controller ......
时序 控制器 视频 VTC 23

postgresql存储时序、轨迹数据

需求 轨迹查询 查询车辆一天的指标(轨迹、速度) 业务: 1、一天有1800万条指标数据 pg分区功能、最好每个设备数据存在一个分区 pg array类型、或者中间表,来解决行数太多的问题。 表太大时,btree会有性能瓶颈。块级索引就适合这个场景https://zhmin.github.io/po ......
时序 postgresql 轨迹 数据

UML时序图

Squence Diagram:显示对象之间交互的图,这些对象是按照时间顺序排序的 主要建模元素 对象(actor) 生命线(Lifeline) 控制焦点(Focus of Control) 消息(Message) ......
时序 UML

时序逻辑电路---双稳态触发器

组合逻辑电路的特点是,假设有足够的时间使逻辑门稳定下来,那么逻辑功能块的输出就只与当前的输入有关。 为了保存一些状态信息,则产生了时序逻辑电路。一个时序逻辑电路具有记忆功能。 时序逻辑电路中最简单的部件是锁存器。可以由两个CMOS反相器首尾相连结而成,锁存器是电平敏感的器件。 构成一个边沿触发寄存器 ......
稳态 触发器 时序 电路 逻辑

proc_sys_reset 复位时序

proc_sys_reset 模块时序 下面为仿真时序,这里做一个record , 后面有使用问题可以参考该时序; 点击查看代码 module test( ); bit slowest_sync_clk; bit ext_reset_in; bit aux_reset_in; bit mb_debu ......
时序 proc_sys_reset reset proc sys

快速时序InSAR处理软件(测试版)

本软件主要用于SBAS-InSAR的数据处理,通过本软件可完成SBAS-InSAR数据处理的全流程。其中原始数据由ASF提供,干涉解缠服务由HyP3提供(基于GAMMA 20220630),时序处理由MintPy提供。 本软件除可用于SBAS-InSAR数据处理的全流程外,还提供SLC影像数据下载、 ......
时序 测试版 InSAR 软件

verilog设计行为仿真和时序仿真不一致, 原来是敏感信号的问题

描述 最近在vivado中设计一个计算器: 28bit有符号加减法,结果出现行为仿真和时序仿真不一致情况 代码 r_a, r_b : 对计算数据a, b的寄存器存储, 也是计算器的数据输入 s_bit : 符号位 cout : 28bit计算器的进位输出 cout[27] : 最高位进位, 用来判断 ......
时序 信号 行为 verilog 问题

时序图之图书馆借阅

时序图 ......
时序 图书馆 图书

12.7周四uml之类图,用例图,活动图,时序图

今天课上的测试中检查了我们uml的理解,成功让我意识到了对类图等概念理解中的不足,为此,我重新翻阅软件设计这本书,并结合网络上的类图,用例图,活动图,时序图等,总结了以下内容。 类图(Class Diagram)是面向对象系统建模中最常用和最重要的图,是定义其它图的基础。主要是用来显示系统中的类、接 ......
时序 12.7 uml 12

UML之用例图,类图,时序图,活动图

用例图 用例图是用于描述系统在某个系统边界下需要提供的功能的图例描述,是对用户与系统进行交互的动作的表述。用例图描述了用户、需求以及系统功能单元之间的关系,主要由参与者,用例和它们之间的关系组成。 用例图需要的因素: 参与者(Actor):使用系统功能的人或者团体,用小人表示,参与者可以继承,用空三 ......
时序 UML

CA-TCC: 半监督时间序列分类的自监督对比表征学习《Self-supervised Contrastive Representation Learning for Semi-supervised Time-Series Classification》(时间序列、时序表征、时间和上下文对比、对比学习、自监督学习、半监督学习、TS-TCC的扩展版)

现在是2023年11月27日,10:48,今天把这篇论文看了。 论文:Self-supervised Contrastive Representation Learning for Semi-supervised Time-Series Classification GitHub:https://g ......
时间序列 时间 序列 supervised 时序

微信支付-业务流程图+时序图梳理微信支付链路+封装对接微信API工具类

因业务需要,开发微信支付功能,涉及三种支付方式: JSAPI 支付:微信内网页支付,需要开通微信服务号 小程序支付:在小程序中支付,需要开通小程序 H5 支付:在手机浏览器(出微信内网爷)中网页支付 使用微信支付的前提必开通微信商户号,要使用到那种的支付方式要前需在商户平台开通(要审核)。 支付的钱 ......
时序 链路 流程图 流程 业务

3招解决时序数据高基数难题,性能多维度提升!

本文分享自华为云社区《DTSE Tech Talk | 3招解决时序数据高基数难题,性能多维度提升!》,作者:华为云开源。 本期《openGemini全新列存引擎,为您解决时序数据高基数难题》的主题直播中,华为云开源DTSE技术布道师&数据库创新Lab技术专家黄飞腾,与开发者朋友们分享了时序数据库的 ......
时序 基数 难题 性能 数据

【略读论文|时序知识图谱补全】Tucker Decomposition with Frequency Attention for Temporal Knowledge Graph Completion

会议:ACL,时间:2023,学校:北京航空航天大学,多伦多大学 关键词:基于张量分解;频率注意力;正则化 摘要: 之前基于张量分解的TKGC模型存在仅独立考虑一种关系与一个时间戳的组合,忽略了嵌入的全局性质的问题。 本文的方法:一种频率注意力(FA)模型来捕获一个关系与整个时间戳之间的全局时间依赖 ......

【略读论文|时序知识图谱补全】Learn from Relational Correlations and Periodic Events for Temporal Knowledge Graph Reasoning

会议:SIGIR,时间:2023,学校:国防科技大学 摘要: 之前模型存在的问题:未能利用快照内结构信息的关系之间的语义相关性与快照间时间交互沿时间轴的周期性时间模式。 本文的工作:提出了一种新的推理模型(RPC);它通过两个新的通信单元,即关系通信单元(RCU)和周期通信单元(PCU),充分挖掘关 ......

【略读论文|时序知识图谱补全】Hierarchical Self-Atention Embedding for Temporal Knowledge Graph Completion

会议:WWW,时间:2023,学校:东北大学计算机与通信工程学院 摘要: 目前TKGC模型存在的问题:只考虑实体或关系的结构信息,而忽略了整个TKG的结构信息。此外,它们中的大多数通常将时间戳视为一般特征,不能利用时间戳的潜在时间序列信息。 本文的方法:一种基于自注意机制和历时嵌入技术的分层自注意嵌 ......

【略读论文|时序知识图谱补全】DREAM: Adaptive Reinforcement Learning based on Attention Mechanism for Temporal Knowledge Graph Reasoning

会议:SIGIR,时间:2023,学校:苏州大学计算机科学与技术学院,澳大利亚昆士兰布里斯班大学信息技术与电气工程学院,Griffith大学金海岸信息通信技术学院 摘要: 原因:现在的时序知识图谱推理方法无法生成显式推理路径,缺乏可解释性。 方法迁移:由于强化学习 (RL) 用于传统知识图谱上的多跳 ......

SD协议-时序02

SD Bus PAD internal card clock - 对于SD card来讲,时钟信号是一个输入 Data0-3 - inout类型,既可能是输入,又可能是输出 对于Data0-3输出的时候,会有output enable信号(Drive Data0-3),当output enable信 ......
时序

【略读论文|时序知识图谱补全】Adaptive Path-Memory Network for Temporal Knowledge Graph Reasoning

会议:IJCAI,时间:2023,学校:1 中国科学院计算机网络信息中心,北京 2中国科学院大学,北京 3 澳门大学智慧城市物联网国家重点实验室,澳门 4 香港科技大学(广州),广州 5 佛罗里达大学计算机科学系,奥兰多 摘要: 提出一种新的具有TKG关联特征的体系结构建模方法,即自适应路径-记忆网 ......

【略读论文|时序知识图谱补全】Temporal Knowledge Graph Reasoning with Historical Contrastive Learning

会议:AAAI,时间:2023,学校:上海交通大学 摘要: 大多数时序知识图谱的推理方法高度依赖于事件的递归或周期性,这给推断与缺乏历史交互的实体相关的未来事件带来了挑战。本文提出一种新的基于历史对比学习训练框架的对比事件网络(CENET)的新事件预测模型。 1.CENET 学习历史和非历史依赖来区 ......

【略读论文|时序知识图谱补全】Logic and Commonsense-Guided Temporal Knowledge Graph Completion

会议:AAAI,时间:2023,学校:北京航空航天大学 文中谓词可以视为关系。 以往的TKG补全(TKGC)方法不能同时表示事件的时效性和因果关系。为了应对这些问题,作者提出了一个逻辑和尝试引导嵌入模型(LCGE ),从常识的角度共同学习涉及事件的及时性和因果关系的时间敏感表示,以及事件的时间无关表 ......

使用亿图画时序图(序列图)

1、打开亿图,新建页面,软件和数据库 → 软件 → UML图,双击打开 2、在打开的绘图页面,点击“UML序列”,即可画时序图(序列图) 3、常用的几个图标 ......
时序 图画 序列

influxdb时序数据库

概念 InfluxDB是一个由InfluxData开发的开源时序型数据库,由Go写成,着力于高性能地查询与存储时序型数据。InfluxDB被广泛应用于存储系统的监控数据,IoT行业的实时数据等场景。 时序数据库一般来说最常见的操作就只有2种,要么写,要么查 下载安装 暂略。 启动 windows 6 ......
时序 influxdb 数据库 数据

通过时序和上下文对比学习时间序列表征《Time-Series Representation Learning via Temporal and Contextual Contrasting》(时间序列、时序表征、时态和上下文对比、对比学习、自监督学习、半监督学习)

现在是2023年11月14日的22:15,肝不动了,要不先回寝室吧,明天把这篇看了,然后把文档写了。OK,明天的To Do List. 现在是2023年11月15日的10:35,继续。 论文:Time-Series Representation Learning via Temporal and C ......
时间序列 时序 上下文 序列 上下
共119篇  :1/4页 首页上一页1下一页尾页