软件测试 地位 软件

《软件需求十步走》阅读笔记三

开发因需求而来,需求开发以需求规划的成果为主要依据。软件需求开发首先要做的是获取需求,得到目标、系统关联情况以及用例的分析;其次是需求分析,软件系统的可行性、用户接口、系统功能、数据、优先级等这些都在需求分析之列;然后汇总成需求分析规格说明书;最后在进行需求测评,制定具体的开发方案。 需求获取是确定 ......
需求 笔记 软件

02.软件开发流程

目录 软件 软件生命周期 软件开发模型 软件 软件是与计算机系统操作有关的计算机程序、可能有的文档及数据。 软件生命周期 定义问题 软件开发 软件维护 @startuml scale 10 |定义问题| start :搜集需求; :可行性研究; :需求分析; |软件开发| :概要设计; :详细设计; ......
软件开发 流程 软件 02

软件设计19

[实验任务一]:虚拟聊天室 在“虚拟聊天室”实例中增加一个新的具体聊天室类和一个新的具体会员类,要求如下: 1. 新的具体聊天室中发送的图片大小不得超过20M。 2. 新的具体聊天室中发送的文字长度不得超过100个字符。 3. 新的具体会员类可以发送图片信息和文本信息。 4. 新的具体会员类在发送文 ......
软件

3-1-05 Modesim软件安装

1.1 Modelsim软件版本选择 不同的Vivado版本支持使用的Modesim版本不同,具体可查看Xilinx提供的文档UG973-vivado-release-notes-install-license。 我们使用的Vivado 软件版本是 vivado2017.4,推荐使用版本是 Mode ......
Modesim 软件 05

1-1-01vscode开发软件安装

使用第三方编辑工具可以让开发代码变的更加高效,vscode是非常好用的第三方编辑器,下面我们演示如何安装vscode 登录米联客官方社区https://www.uisrc.com 进入下载页面,下载vscode 双击Visual Studio Code 64位安装程序 为了可以识别verilog/v ......
开发软件 vscode 软件 01

数学软件 研究发展 白皮书

大前天 在 数学吧 看到 《一个简单的非隠非三角非超越函数 却让双精度浮点无力招架》 https://tieba.baidu.com/p/8818621218 , 昨天又看了一下, 这个帖子值得关注和研究 。 我叫 @dons222 研究 数学软件, 他退缩了, 他要去搞他的工程项目, 创造眼前的效 ......
白皮 白皮书 数学 软件

性能测试-JMeter分布式测试及其详细步骤

性能测试概要 性能测试是软件测试中的一种,它可以衡量系统的稳定性、扩展性、可靠性、速度和资源使用。它可以发现性能瓶颈,确保能满足业务需求。很多系统都需要做性能测试,如Web应用、数据库和操作系统等。 性能测试种类非常多,有些概念也很相近: Load Testing Baseline Testing ......
分布式 步骤 性能 JMeter

大厂性能测试监控指标及分析调优指南

一、哪些因素会成为系统的瓶颈 CPU:如果存在大量的计算,他们会长时间不间断的占用CPU资源,导致其他资源无法争夺到CPU而响应缓慢,从而带来系统性能问题,例如频繁的FullGC,以及多线程造成的上下文频繁的切换,都会导致CPU繁忙,一般情况下CPU使用率<75%比较合适。 内存:Java内存一般是 ......
性能 指标 指南

2023年度评奖:手机系统软件篇

即将和2023年说再见,这一年,久经寒冬的手机市场终于有了回暖趋势,与此同时,各大手机厂商也在手机系统领域有了巨大突破。 这一年,我们告别了小米MIUI,迎来了小米全新操作——澎湃OS,对小米来说这是一个历史性时刻,从发布之日起,小米澎湃OS逐步接替MIUI。 这一年,AI大模型成为“科技顶流”,国 ......
年度 系统 手机 软件 2023

UTools软件推荐

uTools(最强大的工具箱) 官网:uTools官网 - 新一代效率工具平台 推荐指数:※※※※※ 使用感受:个人最推荐的一款软件,主要使用功能是做软件快速启动器。这是一款插件化的集成软件,能根据自己的需要打造【私人专属工具库】,主要特点是轻量、安全、简洁,能够不中断、无干扰的让你随心控制自己的电 ......
UTools 软件

39 HDMI视频输入测试

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MILIANKE)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 米联客开发板上集成的HDMI输入芯片方案采用A ......
视频 HDMI 39

37 基于FPGA的LVDS信号环路测试

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 LVDS(Low Voltage Differ ......
环路 信号 FPGA LVDS 37

35 VIVADO用户IP软件总线接口封装

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 使用VIVADO基于图形化创新编程FPGA的方 ......
总线 接口 用户 VIVADO 软件

28 液晶屏7寸LCD显示测试

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 前文中,我们已经实现了HDMI输出实验,米联客 ......
液晶屏 液晶 LCD 28

24 TPG图像测试数据发生器设计

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 TPG(video_test_pattern ......
发生器 图像 数据 TPG 24

自动化测试学习

1.分层处理 POM POM的核心思想:把重复的操作独立出去 2.报表 生成allure报表的固定写法 3.pytest测试 4.其他 4.1元组解包使用* 4.2使用yaml文件作为数据驱动 安装PYyaml包: pip install PYyaml 导入yaml使用;import yaml 4. ......

opengauss-测试shared_buffers对影响DROP TABLE的性能影响

https://mp.weixin.qq.com/s/b2_Wteyv9ujoW2IV2y8QAw 记录一下白老师提到的在PG数据库中 shared_buffers会影响DROP TABLE的性能。 在opengauss中的测试,tps波动不明显: ......

.net core 单元测试项目搭建

背景和目的 为了提高系统稳定性,通常我们有两方面的计划: 黑盒测试:自动化测试,以接口来主体,通过控制入参的形式,检验出参,来模拟用户在线上的实际业务;(可以覆盖绝大部分的业务) 白盒测试:单元测试,以关键逻辑方法为主体,通过控制入参的形式,检验数据变化,站在开发的角度上来模拟实际调用(可以覆盖复杂 ......
单元 项目 core net

一个动态壁纸软件

996仙人壁纸 介绍 我们这一生啊,总得熬夜的。 1、实现原理: 把一个窗口放在桌面壁纸和桌面图标之间,然后在自己的这个窗口播放视频或者动画,这就是一个简单的动态桌面软件。软件是将窗体作为背景,使用WebView2显示内容,通过发送通知实现更新壁纸的效果。 2、基础WPF的动态壁纸软件,支持HTML ......
壁纸 动态 软件

31.Playwright 自动化测试框架

Playwright 简介 Playwright 官网:https://playwright.dev/python/docs/intro Web 自动化测试框架。 跨平台多语言支持。 支持 Chromium、Firefox、WebKit 等主流浏览器自动化操作。 Playwright 的优点 支持所 ......
Playwright 框架 31

防泄密软件如何确保数据安全?

随着数字化时代的来临,企业面临着越来越复杂的数据安全威胁。选择专业的防泄密软件成为确保企业信息安全的不可或缺的一环。本文将深入探讨防泄密软件如何通过加密技术、访问控制和实时监测等手段,确保企业数据的全面安全。 1. 数据加密:保障信息不被窥探🙈 选择专业的防泄密软件(例如华企盾DSC数据防泄密系统 ......
数据 软件

30.Cypress测试框架介绍

目录 cypress简介 cypress与selenium对比 cypress环境部署 cypress框架基本用法 cypress简介 基于 JavaScript 的前端测试工具 可以对浏览器中运行的任何内容进行快速、简单、可靠的测试 对每一步操作都支持回看 覆盖了测试金字塔模型的所有测试类型【界面 ......
框架 Cypress 30

经纬恒润轻量化网络自动化测试系统TestBase_DESKNAT重磅发布!

经纬恒润桌面式网络自动化测试系统TestBase_DESKNAT 2.0产品重磅发布! ......

k8s patch测试

1.编写patch.sh,下文件为yaml 文件模板 # 用户名 username=bqadm # 是否挂载可写目录 iswrite="true" container_name=$(kubectl -n bigquant get $(kubectl get deployment -l usernam ......
patch k8s k8 8s

25.测试用例流程设计

目录 测试用例通用结构回顾 web自动化测试用例流程设计 web自动化测试用例项目结构 现有测试用例的问题 可维护性不高 可读性较差 稳定性较差 用例结构设计 测试用例的编排 测试用例的项目结构 自动化测试用例流程-回顾 web自动化测试-用例设计 类型框架对应作用 前置 setup_class/B ......
流程设计 流程 25

开发商城小程序具有哪些模块和功能?(临沂软件定制开发-艾思软件)

随着移动互联网的发展,微信小程序已经成为了企业、商家和开发者的重要工具。商城小程序作为微信小程序的一种类型,为商家提供了一个全新的销售渠道。本文将详细介绍商城小程序的模块和功能,并附带相关代码。 一、商城小程序的模块 首页模块:展示商城的热门商品、优惠活动等信息,吸引用户关注和购买。 分类模块:按照 ......
定制开发 软件 模块 功能 商城

22.Web自动化测试之Cookie登录

cookie 是什么 Cookie 是一些认证数据信息,存储在电脑的浏览器上 当 web 服务器向浏览器发送 web 页面时,在连接关闭后,服务端不会记录用户的信息 为什么要使用Cookie自动化登录 复用浏览器仍然在每次用例开始都需要人为介入 若用例需要经常执行,复用浏览器则不是一个好的选择 大部 ......
Cookie Web 22

21.Web 自动化测试之复用浏览器

复用浏览器简介 为什么要学习复用浏览器 自动化测试过程中,存在人为介入场景 提高调试 UI 自动化测试脚本效率 复用已有浏览器-配置步骤 需要退出当前所有的谷歌浏览器(特别注意) 输入启动命令,通过命令启动谷歌浏览器 找到 chrome 的启动路径(下一页 ppt)s 配置环境变量(下一页 ppt) ......
浏览器 Web 21

python 修改ini文件参数,保留ini文件注释的方法--未测试

使用configparser模块的write()方法在写入INI文件时默认会删除注释。要保留注释,您可以使用自定义的格式化器来处理INI文件的内容,并在写入文件时手动添加注释。 下面是一个示例代码,演示如何自定义一个格式化器,并在写入INI文件时保留注释: python复制代码 import con ......
文件 注释 ini 参数 方法

代码整洁之道:边界、单元测试、类

来源:博客园(作者-BNDong) 边界 边界上的代码需要清晰的分割和定义了期望的测试。应该避免我们的代码过多地了解第三方代码中的特定信息。依靠你能控制的东西,好过依靠你控制不了的东西,免得日后受它控制。 单元测试 TDD三定律 在编写不能通过的单元测试前,不可编写生成代码 只可编写刚好无法通过的单 ......
边界 单元 代码
共13000篇  :13/434页 首页上一页13下一页尾页