asic fpga fifo

FPGA驱动AD9240实现AD转换

目录一、前言二、时序原理三、代码设计四、结果验证 一、前言 在做项目中,经常会用到AD转换模块。前段时间做毕业设计的时候需要用到FPGA驱动AD9240模块实现模拟数据的采集和转换,尽管相对来说AD9240算比较简单的驱动模块,但是也想记录下分析和设计过程。 二、时序原理 首先通过芯片手册可以看到A ......
FPGA 9240 AD

DAC转化——FPGA驱动LTC1446

目录一、前言二、结合LTC1446芯片手册分析三、Verilog代码与仿真四、总结 一、前言 最近在学习利用FPGA结合DAC芯片实现数模转换,在实验中选择的LTC1446这款芯片。接下来自己将结合芯片手册进行分析,并编写Verilog代码并进行仿真验证。 二、结合LTC1446芯片手册分析 首先从 ......
1446 FPGA DAC LTC

基于FPGA的图像差分运算及目标提取实现,包含testbench和MATLAB辅助验证程序

1.算法运行效果图预览 2.算法运行软件版本 matlab2022a 3.算法理论概述 基于FPGA(Field-Programmable Gate Array)的图像差分运算及目标提取实现主要涉及图像处理、差分运算和目标提取等原理和数学公式。 一、图像处理原理 图像处理是一种对图像信息进行加工、分 ......
testbench 图像 目标 程序 MATLAB

01 FPGA流水灯实验

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 本章课程以大家熟悉的流水灯为例子,详细讲解了V ......
流水 FPGA 01

基于FPGA的图像PSNR质量评估计算实现,包含testbench和MATLAB辅助验证程序

1.算法运行效果图预览 设置较大的干扰,PSNR=15。 设置较小的干扰,PSNR=25。 2.算法运行软件版本 matlab2022a vivado2019.2 3.算法理论概述 基于FPGA的图像PSNR(峰值信噪比)质量评估计算实现涉及到数字图像处理、硬件设计和编程等多个领域。PSNR是一种用 ......
testbench 图像 质量 程序 MATLAB

FPGA学习笔记---verilog学习(2)--过程块always@(*)

在Verilog中always@(*)语句的意思是always模块中的任何一个输入信号或电平发生变化时,该语句下方的模块将被执行。 1、always语句有两种触发方式。第一种是电平触发,例如always @(a or b or c),a、b、c均为变量,当其中一个发生变化时,下方的语句将被执行。 2 ......
过程 verilog 笔记 always FPGA

FPGA学习笔记--Verilog学习(1)

Verilog 具有很强的电路描述与建模能力,能从多个层次对数字系统进行描述和建模。因此,在简化硬件设计任务、提高设计效率与可靠性、语言易读性、层次化和结构化设计等方面展现了强大的生命力与潜力。 Verilog主要特性 可采用 3 种不同的方式进行设计建模:行为级描述——使用过程化结构建模;数据流描 ......
Verilog 笔记 FPGA

最大工作频率为32MHz,R7F100GPL2DFA、R7F100GPL3CFA低功耗MCU,10M08SAU169C8GGB MAX® 10 FPGA

RL78/G23微控制器是RL78系列的新一代产品,CPU工作时的功耗为41μA/MHz,STOP(保持4KB SRAM)时的功耗为210nA,其低功耗在业内首屈一指。10M08SAU169C8GGB 是一款功耗低、外型小巧、适合成本敏感型应用的 FPGA。 ......
功耗 F100 100 GPL 频率

【FPGA基础】状态机

状态机由状态寄存器和组合逻辑电路组成;在不同的当前状态下,能够控制信号的变化进行状态的转移,从而实现相关信号的赋值,完成特定控制时序的设计。 状态机的三个基本要素: 状态变迁(当前状态current_state和下一状态next_state 输入条件(状态变化的触发事件 输出赋值(状态机设计的主要目 ......
状态 基础 FPGA

S32K146-CAN fifo接收

之前项目中,一直用的MB(message buffer)结构和中断方式来接收总线CAN 报文。总线负载率和MCU负载不高的情况下,能够正常接收报文。 总线报文复杂的时候,可以利用CAN-FIFO和硬件过滤来提高MCU接收报文能力和性能。 先理解三个概念: 1)筛选ID;2)掩码;3)FIFO接收 筛 ......
fifo 146 CAN 32

高云FPGA的LVDS应用

本板卡提供如下例程,主要基于具体案例,聚焦于摄像头采集,LCD屏驱动显示等图像处理相关。像GPIO,CLK,LED等这种简单的操作都放到具体实例中了,不再一一介绍,常用的IP也是非常简单的操作,高云文档有些写得不太仔细,如遇到不清楚的地方可以联系官方FAE或者我这边。 3.1 LVDS的应用 LVD ......
FPGA LVDS

进程间通信-信号-pipe-fifo

1.运行结果 这三段代码实现了 FIFO(命名管道)的基本操作,允许进程之间进行通信。以下是对每个程序的简要说明: fifo_creator.c: 这个程序创建了一个 FIFO 文件,它使用 mkfifo 函数在指定路径下创建了一个名为 /tmp/myfifo 的 FIFO。 • mkfifo("/ ......
pipe-fifo 进程 信号 pipe fifo

Gowin FPGA Designer 简介

高云 FPGA Designer 是高云 FPGA 开发的 IDE 软件,软件包不大,安装包 241M,解压Gowin_V1.9.8.07_vin 压缩包,后点击文件夹的 exe 安装包,直接一直按下一步,完成软件的安装,安装后在桌面会有如下图标 双击打开软件,进入主界面,如下 软件的使用,请参考软 ......
Designer 简介 Gowin FPGA

同步FIFO设计

FIFO有一个读口和一个写口,读写时钟一致是同步FIFO,时钟不一致就是异步FIFO IP设计中通常使用的是同步FIFO 异步FIFO通常使用在跨时钟域设计中 RAM(Random Access Memory)的设计 FIFO中的数据可以存储在寄存器中或者SRAM中,FIFO的容量比较小的时候,使用 ......
FIFO

Cyclone V SoC FPGA 制作SD卡 image 不支持scp命令怎么办?

按照 (https://rocketboards.org/foswiki/Documentation/EmbeddedLinuxBeginnerSGuide)制作了一个image, 当我想通过Winscp 访问板子的时候提示访问被拒绝。 将开发板和windows 用一根网线连接起来, 并设置在同一个 ......
命令 怎么办 Cyclone image FPGA

Cyclone V SOC FPGA 如何将preloader uboot kernel rootfile rbf dtb uboot.scr 等文件打包成一个SD卡 .img文件 方便windows的windisk32 一键烧写呢?

首先 我按照 (https://rocketboards.org/foswiki/Documentation/EmbeddedLinuxBeginnerSGuide)制作了一个image, 将SD卡进行分区fat32, raw 和ext3,然后将preloader uboot kernel root ......
文件 uboot preloader rootfile Cyclone

基于FPGA的图像形态学腐蚀算法实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 将FPGA的仿真结果导入到MATLAB,结果如下所示: 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 基于FPGA的图像形态学腐蚀算法实现主要依赖于图像处理的基本原理和数学形态学的基础知识。在图像处理中,形态学操作被广泛应用于各种图 ......
形态学 算法 形态 图像 文件

进程间通信-信号-pipe-fifo

进程间通信-信号-pipe-fifo 编译fifo文件夹的程序 运行fifo文件夹的程序 代码说明 1.consumer.c 文件包含一个用来从 FIFO(命名管道)读取数据的 C 程序。以下是它的主要组件和系统调用的分解: main() 函数: 初始化文件描述符(pipe_fd)、返回状态(res ......
pipe-fifo 进程 信号 pipe fifo

FPGA驱动RGB888屏幕——基于正点原子达芬奇FPGA开发板

RGB888简介 重要提示:由于我没有RGB888屏幕,所以代码未经验证,但是我将其在HDMI中使用了,证明代码还是有一定的正确性 一个像素点由三种颜色控制,每个颜色8bit,共24bit,三个字节,这就是RGB888。同样的还有RGB565等。 LCD屏幕介绍 1、HSYNC(水平同步信号、行同步 ......
FPGA 原子 屏幕 888 RGB

第7天 FIFO与鼠标控制

获取按键编码 当中断程序处理完毕之后需要相8259A发送一个处理完毕的信号,这样8259A才知道中断已经处理完毕,可以接收下一个中断信号了,不然的话,我们的键盘中断一直阻塞在哪里没办法进行处理下一个按键操作。 io_out8(PIC0_OCW2, 0x61);就是为了满足这个操作的。键盘的中断是IR ......
鼠标 FIFO

进程间通信-信号-pipe-fifo

编译运行 Fifo Consumer Producer 一起运行 Testmf pipe文件夹 Pipe Listarg 理解 ()Pipe 概念: 管道是一种在两个进程之间进行通信的机制。个进程的输出可以通过管道传递给另一个进程的输入创建: 在C语言中,可以使用pipe系统调用创建管道。管道有两端 ......
pipe-fifo 进程 信号 pipe fifo

进程间通信-信号-pipe-fifo(课上测试)

一.运行结果 二.代码说明 consumer.c 该程序是一个使用FIFO(命名管道)进行进程间通信的示例。首先定义了FIFO的名称和缓冲区的大小。然后在主函数中,打开了一个以只读方式打开的FIFO,并读取FIFO中的数据直到读取完毕,最后关闭FIFO。 相关系统调用说明:1. open:打开FIF ......
pipe-fifo 进程 信号 pipe fifo

基于FPGA的图像缩小算法实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 将FPGA的处理结果导出到matlab中显示图像效果: 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 图像放小算法主要通过抽取算法实现,常见的抽取算法最大值抽取,和均值抽取。其示意图如下所示: 以缩小一半为例,如果是最大值抽取,则在 ......
算法 图像 文件 MATLAB FPGA

m基于FPGA的OFDM系统verilog实现,包括IFFT,FFT,成型滤波以及加CP去CP,包含testbench

1.算法仿真效果 vivado2019.2仿真结果如下: CP加入,删除效果: 系统RTL结构图: 2.算法涉及理论知识概要 正交频分复用(Orthogonal Frequency Division Multiplexing,OFDM)是一种高效的无线通信技术,已经被广泛应用于无线通信领域。OFDM ......
testbench verilog 系统 FPGA OFDM

HNU FPGA毕设项目上手指南

1.介绍 本文章旨在帮助HNU的同学更优雅的完成数电的FPGA毕设(使用DE2-115),文章将涉及完成FPGA项目需要掌握的知识,资源分享以及一些关于完成项目的经验指导。大家快快搬好小板凳,准备发车吧(doge)。 2.基础知识学习指导 Verilog 想要完成FPGA的项目,那必不可少的就是Ve ......
上手指南 指南 项目 FPGA HNU

基于FPGA的图像坏点像素修复算法实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 FPGA(Field Programmable Gate Array)是一种可编程逻辑电路,可以用于实现各种数字信号处理算法。在图像处理领域,FPGA也被广泛应用于各种图像修复算法,包括 ......
算法 像素 图像 文件 MATLAB

m基于FPGA的8PSK调制解调系统verilog实现,包含testbench测试文件

1.算法仿真效果 vivado仿真结果如下: 借助matlab看8PSK的星座图: 2.算法涉及理论知识概要 随着通信技术的不断发展,相位调制技术因其高频谱效率和抗干扰能力而广泛应用于无线通信系统中。其中,8PSK(8相位相移键控)作为一种高阶调制方式,具有更高的频谱效率和更强的抗干扰能力,因此备受 ......
testbench verilog 文件 系统 FPGA

ASIC 功能验证SVTB

System Verilog进行验证是可以不综合的 发现DUT中的功能问题 预备知识:Linux/verilog/gvim System Verilog学习目录 System Verilog Testbench功能 DUT - 待测试对象,RTL代码 产生激励(generate) 驱动激励(driv ......
功能 ASIC SVTB

ASIC 功能验证VTB

目标 设计流程 验证设计文档和RTL code之间的关系 RTL code(DUT) - 可以当作是一个黑盒,DUT内部是完全不可见的 白盒验证 - DUT内部RTL完全可见 灰盒验证 - DUT内部的RTL部分可见 工具 主流EDA 设计节点 DV - 特指是功能验证,主要是system veri ......
功能 ASIC VTB

基于FPGA的图像直方图统计实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 基于FPGA的图像直方图统计实现主要是通过利用FPGA的并行处理能力,对图像中的每个像素进行统计,以计算出每个灰度级出现的次数或概率。这个过程涉及到对图像数据的快速读取、处理和存储,以及 ......
直方图 图像 文件 MATLAB FPGA
共351篇  :2/12页 首页上一页2下一页尾页