mark_debug

【IP】在线调试(ILA/VIO/*mark_debug*)

Xilinx FPGA在Vivado中有多种在线调试方法: 1、调用IP (1)ILA IP核 ILA核的一个应用技巧: 当系统钟为50M或100M等高速时钟时,对于慢速信号,比如2.4k时钟下的信号,ila核需要设置非常大的采样深度才能抓到该信号,这样会非常浪费BRAM资源。这时可以通过二次编译来 ......
mark_debug debug mark ILA VIO
共1篇  :1/1页 首页上一页1下一页尾页