scroll-view源码 频率scroll

Qt控件源码原创独家永久升级(共201个支持Qt4.Qt5.Qt6) 1. 超过190个精

Qt控件源码原创独家永久升级(共201个支持Qt4.Qt5.Qt6)1. 超过190个精美控件并持续不断迭代更新升级,种类超多,控件类型极其丰富。2. 涵盖了各种仪表盘、进度条、进度球、指南针、曲线图、标尺、温度计、导航条、导航栏,flatui、高亮按钮、滑动选择器、农历、广告轮播、饼状图、环形图、 ......
控件 源码 Qt 201 190

Qt编写控件组态属性设计器源码属性设计器产品不包括里面控件的源码

Qt编写控件组态属性设计器源码属性设计器产品不包括里面控件的源码1. 自动加载插件文件中的所有控件生成列表,默认自带的控件超过120个。2. 拖曳到画布自动生成对应的控件,所见即所得。3. 右侧中文属性栏,改变对应的属性立即应用到对应选中控件,直观简洁,非常适合小白使用。4. 独创属性栏文字翻译映射 ......
控件 源码 属性 组态 产品

Qt编写输入法源码V2018 1. 未采用Qt系统层输入法框架,独创输入切换机制。

Qt编写输入法源码V20181. 未采用Qt系统层输入法框架,独创输入切换机制。2. 纯QWidget编写,支持任何目标平台(亲测windows、linux、嵌入式linux等),支持任意Qt版本(亲测Qt4.6.0到Qt5.11.2),支持任意编译器(亲测mingw、gcc、msvc等),支持任意 ......
输入法 源码 框架 机制 系统

埃斯顿 伺服驱动器 方案 C源码 埃斯顿 伺服驱动器 方案 C源码 可直 伺服驱动方案源码资料 C语言源代码

埃斯顿 伺服驱动器 方案 C源码 埃斯顿 伺服驱动器 方案 C源码 可直 伺服驱动方案源码资料 C语言源代码,资料齐全可直接生产! 2500线省线式编码器,17位增量编码器,20位绝对值编码器!标配CANopen、高精度运动控制,高速总线通讯,可靠性好,性价比高。资料网上花3千买的,需要的直接联系。 ......
源码 方案 驱动器 源代码 语言

GD指纹算法,指纹识别算法源码出售 指纹识别算法极度优化极坐标三角运算算法,源码级出售,拿到即可移植应

GD指纹算法,指纹识别算法源码出售 指纹识别算法极度优化极坐标三角运算算法,源码级出售,拿到即可移植应用。另有符合国际ISO标准的指纹识别算法,实现各种算法的指纹模板通比和加密传输ID:8162999597738557021 ......
算法 指纹 指纹识别 源码 极坐标

Verilog PID调节器基于fpga的Verilog PID调节器源码

Verilog PID调节器基于fpga的Verilog PID调节器源码ID:2220597454912833 ......
调节器 Verilog PID 源码 fpga

频谱仪设计基于FPGA的频谱仪设计,可以测试分析多种频率的频谱,分辨率100HZ,配套资料多达100M,东西复杂

频谱仪设计基于FPGA的频谱仪设计,可以测试分析多种频率的频谱,分辨率100HZ,配套资料多达100M,东西复杂ID:982500594354361311 ......
频谱 频谱仪 100 频率 分辨率

DC-DC LLC转换器 matlab simulink仿真显示了一个DC-DC LLC功率转换器与频率控制。

DC-DC LLC转换器 matlab simulink仿真显示了一个DC-DC LLC功率转换器与频率控制。在Simulink控制器块中实现了一个简单的积分控制,其设计目的是实现由变量vout_标称值定义的标称输出电压。输出范围显示频率控制信号、输出电压和输出电压的参考值。在启动期间,将参考值提升 ......
转换器 DC-DC DC 功率 LLC

三菱 plc方案源码STM32工控板fx2n源码 FX2N源码V9.x完善高性

三菱 plc方案源码STM32工控板fx2n源码FX2N源码V9.x完善高性能版,程序架构清晰,注释详细,支持大部分指令,当前最新功能如下:13、FX2N源码持续升级中。。。亲们,敬请关注!12、新增3条指令:DECO、ENCO、SEGD;11、优化RTC时间功能,三菱编程软件直接设置时间或获取时间 ......
源码 方案 FX2N fx2n plc

UWB相关源码资料 研创物联mini3s源码资料UWB相关资料 dwm1000模块 uwb定位 ds-twr测距 dw1000模块,双边双

UWB相关源码资料 研创物联mini3s源码资料UWB相关资料 dwm1000模块 uwb定位 ds-twr测距 dw1000模块,双边双向测距,研创物联代码,最多支持4基站8标签测距,基站和标签、信道、速率等配置可通过USB虚拟串口进行切换,支持连接官方上位机(有QT5源码),可实现测距显示及定位 ......
资料 源码 模块 双边 1000

Python asyncio 库源码分析

# Python asyncio 库源码分析 ## 前言 本着 「路漫漫其修远兮, 吾将上下而求索」 的精神。终于要开始深入研究 Python 中 asyncio 的源码实现啦。 本文章可能篇幅较长,因为是逐行分析 asyncio 的实现,也需要读者具有一定的 asyncio 编码经验和功底,推荐刚 ......
源码 asyncio Python

nethogs 源码分析

# nethogs 源码分析 > 这篇文章属于初步分析,以后有时间的话再写的更细点。 > 以下内容都是针对的 TCP 流量分析。 ## 编译 debug 模式下编译,方便后面调试。 ```shell CXXFLAGS='-g -O0 -std=c++11 -Wall -Werror' CFLAGS= ......
源码 nethogs

直播网站源码,uniapp几行代码解决滚动穿透

直播网站源码,uniapp几行代码解决滚动穿透 <template > <view class="" > <page-meta :page-style="spanStyle"></page-meta> </view></template><script > export default { data ......
源码 代码 uniapp 网站

会议室预定系统代码源码(php+css+js+mysql) 完整的代码源码,系统使用B/S架构。

会议室预定系统代码源码(php+css+js+mysql) 完整的代码源码,系统使用B/S架构。优化过的界面,由管理员进行预定会议室操作。推荐使用phpstudy+ navicat搭建和管理项目!服务:提供现成代码,提供环境搭建相关文档。这是一个会议室预定系统的代码源码,使用了PHP、CSS、JS和 ......
源码 代码 系统 会议室 架构

迈信EP100伺服迈信 EP100 伺服驱动器源码学习资料 迈信 ep100 ep100伺

迈信EP100伺服迈信 EP100 伺服驱动器源码学习资料 迈信 ep100 ep100伺服方案资料stm32伺服驱动器文件包含(IAR源码+硬件原理图、pcb(主控板、驱动板、按键板)-AD软件)当时买了只是用来学习,学习完了,奉献大家。有人说是gao高 fang仿的,阅读源码感觉还行,也没有见过 ......
100 驱动器 学习资料 源码 资料

c# MODBUS协议源码 上/下位机源码烧写Flash工具 资料包含:

c# MODBUS协议源码 上/下位机源码烧写Flash工具资料包含: 1、C#上位机源码 2、上位机源码包含MODBUS协议源码 3、下位机源码下位机源码采用STM32F10x芯片 的uC/OS-III平台上的软件开发模板使用说明: 1,首先对所定义的寄存器或线圈进行分组定义,并定义首地址。 2, ......
源码 工具 MODBUS 资料 Flash

FPGA电机控制源码(verilog+nios2架构)FPGA电机控制源码, 方案为单FPGA方案才用底层verilog + 应用层nios2的

FPGA电机控制源码(verilog+nios2架构)FPGA电机控制源码, 方案为单FPGA方案才用底层verilog + 应用层nios2的软件架构,很具有学习价值。包括编码器模块算法, 坐标变换算法, 矢量调制算法等等。注:此代码不适合新手小白。FPGA电机控制源码是一个用于控制电机的程序代码 ......
源码 电机 FPGA verilog 方案

选题系统代码源码(php+css+js+mysql) 完整的代码源码,系统使用B/S架构。

选题系统代码源码(php+css+js+mysql) 完整的代码源码,系统使用B/S架构。优化过的界面,拥有管理员、审核员、教师和学生这四种身份登录方式和对应功能,功能齐全。推荐使用phpstudy+ navicat搭建和管理项目!服务:提供现成代码,提供环境搭建相关文档。选题系统代码源码是一套完整 ......
源码 代码 系统 选题 架构

图书馆管理系统代码源码(php+css+js+mysql) 完整的代码源码,系统使用B/S架构。

图书馆管理系统代码源码(php+css+js+mysql) 完整的代码源码,系统使用B/S架构。优化过的界面,拥有管理员和普通用户,普通用户可注册登录,管理员可登录,功能齐全。管理员可管理普通用户,增加其他管理员,增添图书,借还书操作,查看已还书。普通用户,可在线查看现有图书,和自己已借图书。推荐使 ......
源码 代码 系统 架构 管理系统

ARM加FPGA架构运动控制卡 运动控制器 架构源码 原理图, 资料包含此运

ARM加FPGA架构运动控制卡 运动控制器 架构源码 原理图,资料包含此运动控制卡原理图,PCB图,FPGA源码,ARM去掉算法后的框架源码。注意实物图是旧版的,出的图纸是新版本的,元器件布局合理些,跟实物图稍有不同。本运动控制卡采用ARM单片机+FPGA架构;ARM单片机是基于Cortex-M3内 ......
架构 控制卡 控制器 源码 原理

步进电机T型算法基于stm32 步进电机T型运动控制器源码 输入脉冲数量 脉冲频率即可求出 绝对位置 相对位置,

步进电机T型算法基于stm32 步进电机T型运动控制器源码 输入脉冲数量 脉冲频率即可求出 绝对位置 相对位置,附带限位功能等。支持100khz。这段话涉及到的知识点和领域范围是步进电机控制、T型算法、STM32微控制器、脉冲数量、脉冲频率、绝对位置、相对位置和限位功能。步进电机是一种常用于控制精确 ......
步进电机 脉冲 电机 位置 控制器

台达tp04g和台达变频器的modbus rtu通讯程序 效果可以看视频,可以控制正反转,停止,频率设定,两条线就可

台达tp04g和台达变频器的modbus rtu通讯程序 效果可以看视频,可以控制正反转,停止,频率设定,两条线就可以了,方便快捷,只是程序,非实物"台达TP04G和台达变频器之间可以通过Modbus RTU通信协议进行通讯。这个通讯程序的效果可以通过视频展示,它可以实现对变频器的正反转控制、停止和 ......
变频器 频率 效果 通讯 程序

C++源码代码 交易数据接口程序源代码 这是一个c++语言编写的Windows程序源码包及工程。

C++源码代码 交易数据接口程序源代码 这是一个c++语言编写的Windows程序源码包及工程。1、支持按照设定时间间隔从交易所获取实时成交价格、金额等数据,缓存于内存和本地文件。2、支持读取配置参数文件和生成日志文件。3、支持生成价格和金额的历史曲线数据。4、支持根据交易额筛选交易量大的热门股。5 ......
源码 程序 程序源码 源代码 接口

STM32 PLC底层Keil源码 实现三菱FX2N 延申科普: STM32微控制器

STM32 PLC底层Keil源码 实现三菱FX2N延申科普:STM32微控制器是一种基于ARM Cortex-M内核的32位微控制器系列,由意法半导体(STMicroelectronics)开发。它具有高性能、低功耗和丰富的外设接口,广泛应用于嵌入式系统开发领域。STM32系列微控制器提供了多个型 ......
制器 底层 科普 STM 源码

直播源码搭建技术弹幕消息功能的实现

今天我要分享的这个直播源码技术功能也是大家非常常见的,这个功能不仅仅应用在直播源码平台中,在各大影视app中也一直被应用,那这个功能是什么那? ......
源码 消息 功能 技术

pixel 3xl 编译安卓与内核并烧入全流程(含安卓源码部分编译)

# pixel 3xl 编译安卓与内核并烧入全流程(含安卓源码部分编译) [toc] # 环境搭建 建议:ubuntu18.04 或 ubuntu20.04 建议:最大磁盘大小300GB以上,内存16GB以上,4个CPU内核以上 # 安卓源码下载 ## 一、准备下载环境 ### 1、安装Python ......
内核 源码 流程 部分 pixel

这里提供了一个基于STM32F103系列单片机的项目工程文件,其中包含了STM32 PLC底层源码和FX2N源码。底层源码

这里提供了一个基于STM32F103系列单片机的项目工程文件,其中包含了STM32 PLC底层源码和FX2N源码。底层源码实现了断电保持功能,并且适合初学者,因为有很多注释解释了三菱指令编码。这个项目需要使用Keil MDK 4.7以上的版本进行编译,CPU需要是STM32F103,RAM内存至少为 ......
源码 底层 单片机 STM 文件

xadmin导出文件部分源码

如下 在项目中全局搜索FileResponse可找见 class ExportPlugin(BaseAdminPlugin): export_mimes = {'xlsx': 'application/vnd.ms-excel', 'xls': 'application/vnd.ms-excel', ......
源码 部分 文件 xadmin

JUC同步锁原理源码解析六----Exchanger

# JUC同步锁原理源码解析六 Exchanger ## Exchanger ### Exchanger的来源 ```java A synchronization point at which threads can pair and swap elements within pairs. Each ......
Exchanger 源码 原理 JUC

尚医通-day14【创建订单】(内附源码)

typora-copy-images-to: upload # 页面预览 ## 订单详情 ![image-20230227071834134](https://s2.loli.net/2023/06/19/8rXsPWOn3MdlRNx.png) ![image-20230227071900964] ......
源码 订单 day 14