p_sequencer

p_sequencer的使用

为什么要有p_sequencer? sequence是从uvm_object拓展而来,所以不能访问uvm_component组成的uvm层次结构的,不能通过组件层次调用访问成员变量(如,在env中访问driver的成员变量htrans,可以通过m_env.m_agt.m_drv.htrans来访问) ......
p_sequencer sequencer
共1篇  :1/1页 首页上一页1下一页尾页