仿真技术 巨头altair技术

变频控制与移相控制组成的混合式控制全桥LLC谐振变换器仿真(PFM+PSM混合控制)

变频控制与移相控制组成的混合式控制全桥LLC谐振变换器仿真(PFM+PSM混合控制) 输出电压闭环控制,软开关,宽范围,可实现调频和移相的自动切换,调频和移相控制下的稳定波形如图所示 matlab simulink和plecs模型都有YID:2649674603620136 ......
谐振 LLC PFM PSM

OpenScenario场景仿真结构思维导图, OpenScenario是 自动驾驶仿真软件carla推出来的场景仿真标准

OpenScenario场景仿真结构思维导图, OpenScenario是 自动驾驶仿真软件carla推出来的场景仿真标准,可配合carla一起完成整套自动驾驶的闭环仿真过程,将场景搭建变成可编程化的方式。 可以模拟出自动驾驶真实环境中出现的各种各样的路况环境,例如:被动超车场景、跟车变道场景、换道 ......
OpenScenario 场景 思维 结构 标准

matlab simulink光伏储能并网交直流发电系统仿真模型

matlab simulink光伏储能并网交直流发电系统仿真模型,2018a版本,2021a版本 1)光伏采用扰动观察法最大功率跟踪 2)蓄电池为双向DC-DC变换器,采用电压环和电流环控制的双闭环控制,且电流环和电压环均采用PI调节器 3)并网控制为P Q控制,是令电网或储能装置输出的有功和无功能 ......
发电系统 simulink 模型 matlab 系统

基于欺骗技术的Windows域控安全防护方案

## 一、背景和目标 Windows域控制器(DC)是企业网络中的核心组件,负责管理用户、计算机、组织单元、策略等域内资源。一旦域控制器被攻击者入侵或泄露,整个域内的资源都将面临严重的安全威胁。因此,保护域控制器的安全是企业网络安全防护的重要任务。 欺骗技术是一种主动防御手段,通过在网络中部署虚假的 ......
安全防护 Windows 方案 技术

基于物联网技术的智慧农业温棚系统

基于物联网技术的智慧农业温棚系统,由STM32F103c8t6,温湿度传感器,烟雾传感器,光照传感器,蜂鸣器模块,电机模块组成。 搭配阿里云平台,4G上阿里云,手机App。 电子资料(代码,教学,材料清单,原理图pcb图以及演示视频),也可定制实物。 YID:2712672148499424 ......
温棚 智慧 农业 系统 技术

FactoryIO输送线汇流仿真实验程序

FactoryIO输送线汇流仿真实验程序 使用简单的梯形图编写,逻辑清晰,通俗易懂,写有详细注释,起到抛砖引玉的作用,比较适合有动手能力的入门初学者 软件环境: 1、西门子编程软件:TIA Portal V16(博图V16) 2、西门子仿真软件:PLCSIM V16 3、FactoryIO 2.4 ......
输送线 FactoryIO 程序

Carsim与Simulink联合仿真模型——AEB

Carsim与Simulink联合仿真模型——AEB 提供cpar文件,simulink模型文件,模型搭建过程文档YID:5430669474837788 ......
mdash amp Simulink 模型 Carsim

虚拟同步控制vsg 仿真模型 matlab simulink 电压电流双环控制 虚拟同步控制

虚拟同步控制vsg 仿真模型 matlab simulink 电压电流双环控制 虚拟同步控制 svpwm 离网 并网均可运行 仿真模型 交流复杂突变 电网频率波动 有功指令突变 均可稳定运行YID:3430669665948028 ......
电流 电压 simulink 模型 matlab

PMSG永磁同步发电机并网仿真模型 主要包括发电机、整流器、逆变器(双pwm控制)

PMSG永磁同步发电机并网仿真模型 (1)主要包括发电机、整流器、逆变器(双pwm控制)、电网、控制、显示等部分; (2)风机最大功率跟踪mppt采用最佳叶尖速比法; (3)机侧控制(发电控制):采用转速、电流双闭环控制,均采用PI,磁链解耦;调制策略采用SVPWM; (4)网侧控制(并网控制):采 ......

基于SMO滑模观测器的异步电机无传感器矢量控制,matlab,仿真模型。

基于SMO滑模观测器的异步电机无传感器矢量控制,matlab,仿真模型。YID:2960668514807760 ......
观测器 滑模 矢量 传感器 电机

两级式单相光伏并网仿真 前级采用DC-DC变换电路,通过MPPT控制DC-DC电路的pwm波来实现最大功率跟踪,mppt采用扰动观察法

两级式单相光伏并网仿真(注意版本matlab 2021a) 前级采用DC-DC变换电路,通过MPPT控制DC-DC电路的pwm波来实现最大功率跟踪,mppt采用扰动观察法,后级采用桥式逆变,用spwm波调制。 采用双闭环控制,实现直流母线电压的稳定和单位功率因数。 并网效果良好,thd满足并网要求, ......
电路 观察法 前级 DC-DC DC

matlab调制解调 OFDM OTFS 16qam qpsk ldpc turbo在高斯白噪声,频率选择性衰落信道下的误比特率性能仿真

matlab调制解调 OFDM OTFS 16qam qpsk ldpc turbo在高斯白噪声,频率选择性衰落信道下的误比特率性能仿真,matlab代码 OFDM simulink 包括添加保护间隔(cp),信道均衡(ZF MMSE MRC MA LMSEE) 代码每行都有注释,适用于学习,附带仿 ......
信道 率性 选择性 噪声 频率

88E6095芯片VLAN技术分析

/* * typedef: struct GT_VTU_ENTRY * * Description: VLAN tarnslaton unit Entry * Each field in the structure is device specific, i.e., some fields may ......
芯片 88E6095 E6095 技术 6095

汽轮机振动的日平均峰峰值的BP神经网络预测matlab仿真

1.算法描述 BP神经网络是一种具有一个输入层,一个或多个隐含层和一个输出层的多层网络。隐含层和输出层上的每个神经元都对应一个激发函数和一个阈值。每一层上的神经元都通过权重与其相邻层上的神经元相互连接。对于输入层上的神经元其阈值为零,其输出等于输入。图2为单隐含层的BP神经网络的一般结构。BP神经网 ......
神经网络 汽轮机 汽轮 神经 matlab

基于形态学处理的指纹识别matlab仿真

1.算法描述 指纹识别的一般步骤为指纹采集、预处理、特征点提取、特征点匹配。指纹分为螺旋形、弓形、环形。指纹的处理效果影响着后面特征点的提取和识别效果,所以图像的预处理占有重要的地位。指纹采集一般有专业的设备,所以这一步骤一搬不关注。 ①预处理 因为采集指纹时力度和各种因素所以采集的指纹灰度图会有很 ......
形态学 指纹识别 指纹 形态 matlab

基于FSK调制解调系统的matlab仿真

1.算法描述 频移键控是利用载波的频率变化来传递数字信息。数字频率调制是数据通信中使用较 早的一种通信方式,由于这种调制解调方式容易实现,抗噪声和抗衰减性能较强,因此在 中低速数字通信系统中得到了较为广泛的应用。 在二进制频移键控中,幅度恒定不变的载波信号的频率随着输入码流的变化而切换(称为高音和低 ......
matlab 系统 FSK

基于farrow结构的时间同步算法matlab仿真

1.算法描述 采样速率转换(SRC)在通信中非常普遍。一般有两种方法:一种是通过D/A重构信号,再采样,从而实现采样速率的转换;另一种是利用数字滤波器直接进行采样转换。数字滤波器有CIC,多相,FARROW。 在一个采样间隔T内,FARROW滤波器结构的系数不变,可变的是分数延迟,在一个采样间隔内, ......
算法 结构 时间 farrow matlab

HJ20_密码验证合格程序_仿真_判断重复子串的巧妙

这题最巧的是如何判断密码含有字符串。 最巧妙的是如何判断一串字符串中是否包含重复子串: 思路:for 循环提取可能的重复子串,通过子串作为划分字符串的依据,判断划分后的字符串是否长度大于等于3,则可判断字符串中是否含有两个或两个以上重复子串。简短两行,要注意index不能超出数组。 16 for i ......
密码 程序 HJ 20

[GPT] Nginx+PHP 技术栈 504 Gateway Time-out 解决方案

1. 504 Gateway Time-out 是什么情况? 504 Gateway Time-out 是一种 HTTP 状态码,表示服务器在作为网关或代理时无法从上游服务器(例如应用程序服务器)接收到请求的响应。 这通常意味着上游服务器在处理请求时花费了太长时间,或者出现了连接问题。 这可能是暂时 ......
Time-out 解决方案 Gateway 方案 Nginx

基于plc的污水处理,组态王动画仿真,带PLC源代码,组态王源代码

基于plc的污水处理,组态王动画仿真,带PLC源代码,组态王源代码,图纸,IO地址分配YID:1390639930221182 ......
组态 源代码 污水处理 污水 动画

PG技术大讲堂 - 第12讲:PostgreSQL wal作用与管理

PostgreSQL从小白到专家,是从入门逐渐能力提升的一个系列教程,内容包括对PG基础的认知、包括安装使用、包括角色权限、包括维护管理、、等内容,希望对热爱PG、学习PG的同学们有帮助,欢迎持续关注CUUG PG技术大讲堂。 Part 12:PostgreSQL WAL作用与管理 内容1:WAL物 ......
大讲堂 PostgreSQL 作用 技术 wal

[软件体系结构/架构]零拷贝技术(Zero-copy)[转发]

0 前言 近期遇到难题:1个大数据集的查询导出API,因从数据库查询后占用内存极大,每次调用将消耗近100MB的JVM内存资源。故现需考虑研究和应用零拷贝技术。 如下全文摘自: 看一遍就理解:零拷贝原理详解 - Zhihu/捡田螺的小男孩 零拷贝是老生常谈的问题啦,大厂非常喜欢问。比如Kafka为什 ......
体系结构 拷贝 架构 Zero-copy 体系

异步电机无传感器矢量控制的算法,matlab,仿真模型,采用转子磁链定向控制算法

异步电机无传感器矢量控制的算法,matlab,仿真模型,采用转子磁链定向控制算法,转子磁链观测器采用电压模型+电流模型补偿算法。YID:8688667414516678 ......
算法 转子 矢量 传感器 电机

cruise软件模型,cruise增程混动仿真模型,功率跟随控制策略,Cruise混动仿真模型,串联混动汽车动力性经济性仿真

cruise软件模型,cruise增程混动仿真模型,功率跟随控制策略,Cruise混动仿真模型,串联混动汽车动力性经济性仿真。 关于模型 1.本模型是基于增程混动架构搭载的cruise仿真模型,控制策略为功率跟随控制,跟随对象为整车需求功率。 模型是基于cruise simulink搭建的base模 ......
模型 cruise 动力性 经济性 功率

carsim与simulink联合仿真 差动驱动 两轮独立驱动电动汽车控制策略

carsim与simulink联合仿真(3)——差动驱动 两轮独立驱动电动汽车控制策略。 分为低速和高速两种策略优化分配驱动力矩,低速基于阿克曼转向的差速控制,高速的分上下两层控制器,上层计算附加扭矩,下层进行分配。 路径跟踪,力矩分配,高低速双策略。 carsim和Simulink联合仿真,包含建 ......
电动汽车 simulink 策略 carsim 汽车

小梅哥课程学习——基于verilog系统函数语法的按键抖动模拟与仿真(C)

1 //源代码,因为在返回到空闲状态时没有清零 2 module key_filter( 3 clk, 4 reset_n, 5 key, 6 // key_p_flag, 7 // key_r_flag, 8 key_flag, 9 key_state 10 ); 11 input clk; 12 ......
课程学习 语法 按键 函数 verilog

从数仓发展史浅析数仓未来技术趋势

摘要:华为云EI DTSE技术布道师/华为云数仓GaussDB(DWS)首席架构师曾凯,针对数据仓库的起源、演进过程、未来技术发展趋势,与开发者和伙伴朋友们展开交流互动,帮助开发者快速了解数据仓库相关信息与能力。 本文分享自华为云社区《直播回顾 | 从数仓发展史浅析数仓未来技术趋势》,作者:胡辣汤。 ......
发展史 趋势 技术

ChatGPT软件技术栈解密

ChatGPT 点燃了通用AI浪潮,继农业革命、工业革命、计算机技术革命后,也将可能掀起 AI 技术革命。业界对 ChatGPT 的 AI 算法关注得比较多,但是 OpenAI 已经演变为服务数亿用户的平台服务。近3个月 ChatGPT 的 SLA 大约99%,也就是说平均每天大约有15分钟不可用,... ......
软件技术 ChatGPT 技术 软件

单电阻采样的永磁同步电机相电流重构策略仿真,波形效果佳。

单电阻采样的永磁同步电机相电流重构策略仿真,波形效果佳。YID:4870662310628516 ......
同步电机 波形 电阻 电流 电机

级联pwm整流器(级联H桥CHB)(单相交流220V-直流135*3整流)仿真,动稳态性能良好

级联pwm整流器(级联H桥CHB)(单相交流220V-直流135*3整流)仿真,动稳态性能良好,0.5s切换不平衡负载,0.6s启动直流电压均衡控制,附带仿真介绍文档,详细讲述仿真搭建过程,并附带参考文献与原理出处,内容详实,适合电力电子入门仿真参考。YID:3719659155017644 ......
稳态 整流器 性能 pwm CHB