信道 噪声testbench verilog

gnuradio笔记[2]-观测理想信道和随机信道的眼图

摘要 使用gnuradio观测理想信道和随机信道的眼图; 平台信息 GNU Radio Companion:3.10.8.0 (Python 3.10.13) 原理简介 数字基带传输系统和数字带通传输系统 数字基带传输系统 数字基带传输系统是指将未经调制的基带信号直接送上信道的系统。基带信号是指信源 ......
信道 眼图 gnuradio 理想 笔记

Verilog Review

Agenda 目的 Verilog概述 Verilog建模 模块 模块组成 书写建议 时延 Verilog基本语法 标识符 可读性 注释 空格 数据类型 操作数 运算符 条件语句 循环语句 函数 Verilog for design module 端口 数据类型 例化模块 参数化 行为描述 过程赋值 ......
Verilog Review

微信小程序实时噪声分贝

实现实时录音的功能,并且能够根据声音分贝大小转动仪表盘显示实时的分贝,运用echart实时曲线记录30s的分贝数据图表 ......
噪声 实时 程序

19 Verilog语法_低功耗设计

软件版本:无 操作系统:WIN10 64bit 硬件平台:适用所有系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 1概述 本小节讲解Verilog语法的低功耗设计,需要掌握几种低功耗设计的方法。 2低功耗简介 低功耗技术在当今得到越来越广泛的发展,在你的身边 ......
功耗 语法 Verilog 19

18 Verilog语法_FIFO设计

软件版本:无 操作系统:WIN10 64bit 硬件平台:适用所有系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 1概述 本小节主要讲解Verilog语法的FIFO设计,需要掌握FIFO的基本原理,掌握同步FIFO和异步FIFO的结构。 2同步FIFO FI ......
语法 Verilog FIFO 18

16 Verilog语法_复位设计

软件版本:无 操作系统:WIN10 64bit 硬件平台:适用所有系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 1概述 本小节讲解Verilog语法的复位设计,需要掌握复位电路的同步复位、异步复位、异步复位同步化和异步复位同步释放。 2复位电路简介 为确保 ......
语法 Verilog 16

17 Verilog语法_时钟分频设计

软件版本:无 操作系统:WIN10 64bit 硬件平台:适用所有系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 1概述 本小节讲解Verilog语法的时钟分频设计,需要掌握时钟的特性,以及如何进行时钟分频设计。 2时钟分频 在FPGA的硬件电路设计中,PC ......
时钟 语法 Verilog 17

15 Verilog语法_跨时钟域设计

软件版本:无 操作系统:WIN10 64bit 硬件平台:适用所有系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 1概述 本小节主要讲解Verilog语法的跨时钟域设计,需要掌握跨时钟域时快慢时钟之间信号是如何同步的。 2跨时钟域慢速到快速时钟 由慢时钟到快 ......
时钟 语法 Verilog 15

11 Verilog语法_函数与任务

软件版本:无 操作系统:WIN10 64bit 硬件平台:适用所有系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 1概述 本小节讲解Verilog语法的函数与任务,需要掌握具体的task和function语句的使用方法。 2函数与任务 task和functi ......
语法 函数 任务 Verilog 11

12 Verilog语法_仿真文件设计

软件版本:无 操作系统:WIN10 64bit 硬件平台:适用所有系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 1概述 本小节讲解Verilog语法的仿真文件设计,需要掌握testbench的建立方法。 2仿真文件设计 当完成verilog工程设计后,首先 ......
语法 Verilog 文件 12

13 Verilog语法_流水线设计

软件版本:无 操作系统:WIN10 64bit 硬件平台:适用所有系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 1概述 本小节讲解Verilog语法的流水线设计,需要掌握流水线的设计方法。 2流水线简介 2.1 什么是流水线 流水线的基本思想是:把一个重复 ......
流水线 语法 流水 Verilog 13

14 Verilog语法_同步与异步设计

软件版本:无 操作系统:WIN10 64bit 硬件平台:适用所有系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 1概述 本小节主要讲解Verilog语法的同步与异步设计,需要掌握同步时钟和异步时钟的设计方法。 2同步时钟 数字电路设计中,一般认为,频率相同 ......
语法 Verilog 14

09 Verilog语法_竞争与冒险

软件版本:无 操作系统:WIN10 64bit 硬件平台:适用所有系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 1概述 本小节主要讲解Verilog语法竞争与冒险,需要掌握竞争与冒险的概念以及消除方法。 2竞争与冒险 2.1 什么是竞争与冒险 在数字电路设 ......
语法 Verilog 09

10 Verilog语法_一般设计规范

软件版本:无 操作系统:WIN10 64bit 硬件平台:适用所有系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 1概述 本小节讲解Verilog语法的一般设计规范,需要掌握时序或组合电路设计中需要注意的几点,掌握设计避免出现锁存器。 2设计规范 上一节课我 ......
语法 Verilog 10

08 Verilog语法_模块端口与例化

软件版本:无 操作系统:WIN10 64bit 硬件平台:适用所有系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 1概述 本小节讲解Verilog语法的模块端口与例化的方法,需要掌握模块的端口的类型,以及有几种例化方式。 2模块端口 模块在介绍设计方法时有提 ......
端口 语法 模块 Verilog 08

01 Verilog语法_Verilog_HDL语言简介

软件版本:无 操作系统:WIN10 64bit 硬件平台:适用所有系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 1概述 本节主要介绍Verilog HDL的特点,发展历史及现状,主要应用场景。 2 Verilog HDL简介 Verilog HDL是一种硬 ......
Verilog Verilog_HDL 语法 语言 简介

03 Verilog语法_基础语法

软件版本:无 操作系统:WIN10 64bit 硬件平台:适用所有系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 1概述 本节主要讲解基础语法,包含语法格式、注释、标识符、关键字、数值种类与表示和字符串。 2语法简介 2.1 格式 Verilog 语言是区分 ......
语法 Verilog 基础 03

02 Verilog语法_基本设计方法

软件版本:无 操作系统:WIN10 64bit 硬件平台:适用所有系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 1概述 本节主要讲解Verilog的基本设计方法及设计流程。 2基本设计方法 Verilog的设计方法有两种,一种采用自上而下的设计方法,另一种 ......
语法 Verilog 方法 02

05 Verilog语法_过程结构与赋值

软件版本:无 操作系统:WIN10 64bit 硬件平台:适用所有系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 1概述 本节主要讲解过程结构与赋值,需要掌握阻塞和非阻塞赋值的区别。 2过程结构 过程结构语句有两种,initial 与 always 语句。它 ......
语法 过程 Verilog 结构 05

07 Verilog语法_条件与循环语句

软件版本:无 操作系统:WIN10 64bit 硬件平台:适用所有系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 1概述 本节主要讲解Verilog语法条件、循环语句,需要掌握if、case、casex、casez、while、for、repeat、fore ......
语句 语法 条件 Verilog 07

06 Verilog语法_时序控制与语句块

软件版本:无 操作系统:WIN10 64bit 硬件平台:适用所有系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 1概述 本节讲解时序控制语句相关的语法与几种语句块的使用介绍,需要掌握时序控制的规则和顺序块、并行块、命名块、嵌套块的使用。 2时序控制简介 V ......
时序 语句 语法 Verilog 06

04 Verilog语法_数据类型及表达式

软件版本:无 操作系统:WIN10 64bit 硬件平台:适用所有系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 1概述 本节主要讲解Verilog的数据类型和表达式。 2数据类型 Verilog中主要有两种数据类型:变量(variable)和线网(net) ......
表达式 语法 Verilog 类型 数据

verilog勘误系列之-->算术运算符运算失败

描述 在verilog代码设计时使用算术运算符与乘法搭配使用出现计算错误 原因 由于数据位宽设置不当导致 错误案例 wire signed [13:0] w01; wire signed [23:0] s01; reg signed [24:0] m01; reg signed [25:0] a1; ......
运算符 算术 verilog gt

通信中的主要信道影响

1.多径效应 多径效应指的是无线信号在传播过程中经历多条路径而引起的信号衰减和时延扩展的现象。当无线信号通过空气、建筑物或其他物体传播时,会与不同路径上的障碍物相互反射、绕射和衍射。由于不同路径的长度不同,信号在传播过程中会经历不同的时延,导致信号的干涉和叠加。这可能导致信号强度的波动和时延扩展,从 ......
信道

Verilog编码规范

Verilog编码规范 ​ 本文为自己研究实践与翻阅资料比如华为规范书等所得,主要关于编码问题以及综合电路映射问题,规范自己写出复用性更好、综合性能更好、便于仿真的代码和电路。 目录Verilog编码规范第一部分 Verilog RTL规范1 、Module2、选择器(if-else、case、?: ......
编码 Verilog

Verilog仿真实践

Verilog必须掌握 逻辑仿真工具(VCS)和逻辑综合工具(DC) AndOR module AndOr( output X,Y, input A,B,C ); // A B进行按位与运算 assign #10 X = A & B; // B C按位进行或运算 assign #10 Y = B | ......
Verilog

3D图像如何去除噪声掉点的10种方法

在处理3D图像或点云数据时,可能会遇到一些异常点,这些点可能是由于噪声、错误的测量或其他因素造成的。这些异常点可能会对图像分析和处理的准确性产生负面影响。为了提高数据质量,通常需要通过滤波算法来去除这些异常点。以下是几种常见的3D点云数据去噪和滤波方法:统计滤波器(StatisticalOutlie ......
噪声 图像 方法

m基于FPGA的PPM光学脉位调制解调系统verilog实现,包含testbench

1.算法仿真效果 vivado2019.2仿真结果如下: 2.算法涉及理论知识概要 基于FPGA的PPM(脉冲位置调制)光学脉位调制解调系统是一个复杂的电子与光电子相结合的通信系统。 2.1 PPM调制解调原理 脉冲相位调制(PPM)最早由Pierce提出并应用于空间通信,是利用脉冲的相对位置来传递 ......
光学 testbench verilog 系统 FPGA

无线信道

无线信道 移动衰落信道 无线电波在传播过程中,受到地形的影响,引起能量的吸收和电波的反射、散射和绕射等,产生损耗。 路径损耗:无线电波在自由空间传播时产生的损耗。 大范围空间上的 大尺度衰落:无线电波在传播路径上受到建筑物及山丘的遮挡产生的损耗,也称为阴影衰落,几百倍波长量级 小尺度衰落:由于多径传 ......
信道 无线

高可靠性的F2915NBGK8、F2914NBGK8射频开关,MAAL-011078-001SMB具有超低噪声系数的射频放大器

F2915NBGK8、F2914NBGK8是高可靠性射频开关IC,而MAAL-011078-001SMB是一款高动态范围、单级MMIC LNA射频放大器。 ......
射频 NBGK8 放大器 NBGK 噪声
共350篇  :1/12页 首页上一页1下一页尾页