定时器demo

2023.04.17 定时测试随笔 T1

T1 P2264 情书 #####传送门:洛谷P2264 ###这道题需要注意几个关键点: 不管大小写,所以我们就把所有的英文字符都给改成大写或者小写; ' . ' 是一个句子的结束,相同的单词在不同的句子里都可以加分,我们要判断句子结束; 关键词判重,一个单词在一个句子里不能重复加分; 对于 ① ......
随笔 2023 04 17 T1

定时中断和内外时钟源选择

定时器和中断系统的电路都在STM32内部,故只需在外插一个OLED显示屏即可 定时器不涉及外部硬件,所以把模块封装到System文案金夹,并初始化,把【定时中断基本结构】全部打通 RCC开启时钟,同时定时器的基准时钟和整个外设的工作时钟都会同时打开 选择时基单元的时钟源,(定时中断选择内部时钟源) ......
时钟

kubebuilder开发kubernetes operator demo

环境准备 go环境配置 wget https://golang.google.cn/dl/go1.19.8.linux-amd64.tar.gz tar zxvf go1.19.8.linux-amd64.tar.gz mv go /usr/local/ vim /etc/profile在最结尾添加 ......
kubebuilder kubernetes operator demo

Spring AOP demo

动态代理模式实现, 比如可以在Bean的生命周期创建阶段,根据Pointcut判断当前bean是否满足切入条件,如果满足,再根据织入器ProxyFactory织入到JoinPoint,再根据bean创建代理对象 名词 JoinPoint: 可以理解成系统中每一个可以应用aop的点,一般是指方法。sp ......
Spring demo AOP

googleTest demo

googletest的目录在的官方目录在https://github.com/google/googletest.git。 作为用户,googleTest的库可以认为提供了两个东西: 各种宏,如TEST, TEST_F,通过#include "gtest/gtest.h",即可使用。 gtest_m ......
googleTest demo

chatgpt生成的demo,项目的问题参考

1、下面是一个使用Vue、Python和Django开发的获取文件夹内内容并生成相应下载链接的项目的示例代码。具体要求如下: 如果是文件夹就获取文件夹名称并在前端生成标题,并将文件夹内的文件名称生成链接供下载 如果文件是pdf,则可以直接在浏览器打开 如果是文件夹就进一步获取里面的内容 前端部分: ......
chatgpt 项目 问题 demo

计时器

场景描述 ——有一个物体 M(也可能是生物,某能量体等哦),从 A 点出发,到达 B 点。 ——不管采取什么形式到达目的地 B 点,假设你是个正常人类,只看到这个物体 M 在出发点 A 时刻的状态 Sa,和到达 B 时刻的状态 Sb,就是不清楚具体时刻。打个比方,眼睛一闭一睁,你看不到过程,也不知时 ......
计时器

文字滚动demo

1、主要采用css的@keyframes关键帧和animation动画 <!DOCTYPE html> <html lang="en"> <head> <meta charset="UTF-8"> <meta http-equiv="X-UA-Compatible" content="IE=edge ......
文字 demo

fastdds学习之2——Helloworld Demo

本节详细介绍了如何使用C++API一步一步地创建一个简单的FastDDS应用程序,其中包含发布者和订阅者。也可以使用eProsima Fast DDS Gen工具自行生成与本节中实现的示例类似的示例。在构建发布/订阅应用程序中解释了这种额外的方法,本例程在eProsima Fast DDS Gith ......
Helloworld fastdds Demo

Tim定时器2【功能最强大结构最复杂的外设】

【定时中断基本结构】 【预分频器时序】 重要前提:在改变预分频系数的时候,得等到下一次计数周期才能改变实际的频率 预分频器的输入时钟CK_PSC:选内部时钟的话一般是72MHz计数器使能CNT_EN:高电平计数器正常运行,低电平计数器停止计数器时钟CK_CNT:既是预分频器的时钟输出也是计数器的时钟 ......
定时器 外设 结构 功能 Tim

快速教你实现网页中文英文语言切换(完整DEMO)

1:留意代码中红色部分!2:网页公共底部引入js <script src="https://unpkg.zhimg.com/jquery@3.5.0/dist/jquery.min.js"></script> <script src="你的路径/translate.js"></script> <!D ......
语言 网页 DEMO

2023.04.14 定时测试随笔 T2

T2 P1593 因子和 #####传送门:洛谷P1593 既然要求因子和,那我们就先对 $a$ 分解质因数,得: $a = p_1^{k_1} + p_2^{k_2} + p_3^{k_3}... + p_n^{k_n}$ 所以 $a^b$ 质因数分解就会得到: $a^b = p_1^{k_1b} ......
随笔 2023 04 14 T2

day8 golang-chan-协程-定时器-锁-等待组

package main import ( "fmt" "math/rand" "sync" "sync/atomic" "time" ) func example1() { //不要这样写,阻塞就死无法解除,零值nil var c1 chan int fmt.Printf("%d,%d,%v", ......
定时器 golang-chan golang day8 chan

Tim定时器【功能最强大结构最复杂的外设】

【定时器基本定时的功能】:定一个时间,让定时器每隔这个时间产生一个中断,达到每隔一个固定时间执行一段程序的目的。 定时器TIM(Timer) 可以对输入的时钟进行计数,并在计数值达到设定值时触发中断 可以理解为计数器,计时的过程是对基准时钟进行计数的功能。在STM32中,定时器的基准时钟一般是主频7 ......
定时器 外设 结构 功能 Tim

2023.04.14 定时测试随笔 T1

T1 P2170 选学霸 #####传送门:洛谷P2170 本题考察的是并查集优化背包DP,所以我们通过并查集将 $n$ 个点变成 $group$ 个连通块,那么每个连通块里面的点要么都选要么都不选,状态 $dp[i]$ 定义为可以选 $i$ 个学霸且不会抗议,算出所有可能的结果,再枚举 $1$ ~ ......
随笔 2023 04 14 T1

osg 配置好后,运行官方demo能够显示牛,但是代码实现时提示,ld链接错误,找不到构造函数和析构函数的定义

解决办法: g++ my.cpp -I /usr/local/include/ -o my -L /usr/local/lib/ -lOpenThreads -losgDB -losgText -losgUtil -losg -losgViewer -losgGA -losgManipulator ......
函数 错误 代码 链接 官方

NTP网络校时服务器(北斗GPS校时器)在地铁内网系统中的应用

NTP网络校时服务器(北斗GPS校时器)在地铁内网系统中的应用 NTP网络校时服务器(北斗GPS校时器)在地铁内网系统中的应用 京准电子科技官微——ahjzsz NTP网络校时服务器是一种基于网络时间协议(NTP)的时钟同步系统,用于确保地铁车站各个时钟的时间同步。下面是该系统的工作原理: 1.NT ......
北斗 地铁 服务器 系统 网络

【Java 线程池】【九】Timer定时器、ScheduleThreadPoolExecutor延迟调度、时间轮算法对比

1 前言 这节我们来讲点别的东西,专门来分析一下定时任务、延迟任务的实现。 2 对比分析 前面我们讲解的ScheduledThreadPoolExecutor,这个线程池可以进行延迟任务、定时任务的调度,底层依赖的是DelayedWorkQueue这个阻塞队列。DelayedWorkQueue这个延 ......

2023.04.13 定时测试随笔 T2

T2 P1197 [JSOI2008] 星球大战 #####传送门:洛谷P1197 很好的一道并查集题,每一颗星球之间都通过一条隧道组成一个连通块,我们可以用并查集来维护两个点之间的连通性,但是这个题里面它要求删除一个点,~~似乎~~我们以前做过的所有的并查集题里面都没有涉及到删点这个东西,既然不能 ......
随笔 2023 04 13 T2

2023.04.13 定时测试随笔 T1

T1 P1133 教主的花园 #####传送门:洛谷P1133 这是一道DP的题,定义状态 $dp[i][j][k]$ 表示前 $i$ 棵树所能达到的最大价值,且第 $i$ 棵树为第 $j$ 种树,$j = 0$ 高度是 $10$,$j = 1$ 高度是 $20$, $j = 2$ 高度为 $30$ ......
随笔 2023 04 13 T1

虚幻引擎 4 学习笔记 [1] :蓝图编程 Demo

虚幻引擎 4 学习笔记 [1] :蓝图编程 Demo ​ 最近学习虚幻引擎,主要看的是 Siki 学院的课,课程链接:Unreal蓝图案例 - 基础入门 - SiKi学院|SiKi学堂 - unity|u3d|虚幻|ue4/5|java|python|人工智能|视频教程|在线课程 (sikiedu. ......
蓝图 虚幻 引擎 笔记 Demo

关于项目中定时器优化的一些想法

定时器从STL的map实现,改为最小堆的实现,主要基于以下几个方面的思考: 之前的定时器实现: 业务层需要一个定时任务的时候,底层引擎会生成一个定时器对象,同时分配一个定时器id(timerId), timerId是一个全局自增的long long值, 这个timerId会传回给脚本层持有。 底层通 ......
定时器 想法 项目

JOB实现定时收集特定用户的统计信息

--创建存储过程 create procedure analyze_table_elan4 is --analyze_table_elan4 存储过程名,自定义 begin dbms_stats.gather_schema_stats( ownname =>'ELAN', --修改要收集统计信息的用 ......
用户 信息 JOB

swagger2与定时器

1.springboot整合swagger2 1.1 什么是swagger2 Swagger 是一个规范和完整的框架,用于生成、描述、调用和可视化 RESTful 风格的 Web 服务的接口文档 . 接口: controller相应的路径方法 1.2 为什么是swagger2 目前的项目基本都是前后 ......
定时器 swagger2 swagger

Capl:CSV格式文件解析Demo

文件类别 .csv文件 Demo表格内容 Capl代码 /*@!Encoding:936*/ includes { } variables { int64 number;//为BOBAddr服务,无意义 struct FRECUSETTING { int ECUIndex[50]; char ECU ......
格式 文件 Capl Demo CSV

XXL-JOB定时任务框架(Oracle定制版)

xxl-job是一个轻量级、易扩展的分布式任务调度平台,能够快速开发和简单学习。开放源代码并被多家公司线上产品使用,开箱即用。尽管其确实非常好用,但我在工作中使用的是Oracle数据库,因为xxl-job是针对MySQL设计的,所以使用起来需要进行一些魔改。为了方便后人使用,我已经创建了许多SQL和... ......
框架 任务 XXL-JOB Oracle XXL

crontab 定时任务执行失败

Linux操作系统中利用ulimit限制shell启动进程占用的资源,ulimit支持对用户的打开进程数、进程打开文件句柄数、进程打开文件的大小、进程coredump文件大小等资源进行限制,从而防止某个用户进程过度占用系统资源,避免影响整个操作系统和其他应用的正常运行; 说说Linux操作系统中np ......
任务 crontab

脚本推荐-定时日志

定时日志 简介 在设计时,常常忘记时间 一些需要记录的东西往往由于没有养成习惯而忘记记录 这样导致无法追溯设计的日志 失去了分析个人能力和效率的手段 为了强制养成写日志的习惯 研发了一个定时日志的脚本 利用win的定时器触发 每小时弹出一个写日志的输入框 并自动添加时间到log文件 bat启动文件 ......
脚本 日志

Java开发笔记(不定时更新)

1. IDEA在引入外部库时编译出现找不着库的问题:在 resources目录中,新建一个lib目录,将外部库拷贝进去,这样打包时就不会出现找不见的情况。 2. 对象列表按属性排序时空指针错误处理问题: List.sort(Comparator.comparing(X::a)在对列表按属性排序时,如 ......
笔记 Java

支付宝定时任务怎么做?三层分发任务处理框架介绍

本文将从单机定时调度开始,循序渐进地带领大家了解五福定制三层分发任务处理框架。 一、背景介绍 技术同学对定时任务肯定不陌生。定时任务一般用来定时批量进行业务处理。支付宝卡包券到期提醒、删除过期失效券,五福大促批量给用户发放添福红包等场景,都是通过定时任务触发来完成的。 作者有幸参与了2023兔年五福 ......
任务 框架