示波器 简易fpga 31

2023.11.25-2023.12.31 模拟赛记录

苟。 11.25 多校 dream 给定 N,求 \(\sum_{i\in\left[1,2^N\right]}\log_2\left(\prod_{j\in[1,i]}\text{lowbit}(j)\right)\)。(N<=1e18) 找个规律发现 \(\log_2\left(\prod_{j ......
模拟赛 2023 11 12 25

FPGA实现分频器

1 `timescale 1ns/1ns 2 3 module fpq_tb(); 4 5 6 reg [0:0] sys_clk; 7 reg [0:0] sys_rst_n; 8 wire [0:0] clk_out; 9 10 initial begin 11 sys_clk=1'b0; 12 ......
分频器 FPGA

基于FPGA的图像二值化处理,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 将FPGA的数据导入到matlab进行显示 2.算法运行软件版本 Vivado2019.2 matlab2022a 3.算法理论概述 基于FPGA(现场可编程门阵列)的图像二值化处理主要依赖于数字图像处理技术。其原理是将灰度图像转化为二值图像,使图像只剩下黑白两种颜色,从而简 ......
图像 文件 MATLAB FPGA

刘金玉QT学习笔记:7-简易用户信息管理界面实现_实现用户信息增改

1. 同第六课方式在widget里连接并创建数据库。 2. 通过QSqlQuery使用sql语句的第二种方法: -在不同的函数中都要使用->做成全局变量 3. 表格网格控件tableview控件显示数据库的内容为表格行 -ui拖出控件 -qtableview控件通过QSqlQueryModel来渲染 ......
用户 信息 简易 界面 笔记

FPGA入门笔记006——状态机设计实例

状态分析: 状态1:等待“H”的到来,如果检测到“H”,进入状态2,检测“e”,否则一直等待“H”; 状态2:检测当前字符是否是“e”,如果是“e”,跳转到状态3,检测“l”,否则,回到状态1,重新等待“H”; 状态3:检测当前字符是否是“l”,如果是“l”,跳转到状态4,检测“l”,否则,回到状态 ......
实例 状态 笔记 FPGA 006

刘金玉QT学习笔记:6-sqlite简易入门+QT结合使用

//第一篇,测试一下 1. Sqlite -linux自带 -轻量级 -关系型数据库 2. 安装 -进入官网后点击Downloads -下载下图的两个zip,解压后把里面的文件放到C盘下自创一个sqlite的文件夹 3. 通过命令行使用sqlite3.exe来使用数据库 -配环境变量作用:不用进入路 ......
简易 笔记 sqlite QT

基于CrossLink-NX FPGA的核心板电路设计

引言 Field Programmable Gate Array(简称,FPGA)于1985年由XILINX创始人之一Ross Freeman发明,第一颗FPGA芯片XC2064为XILINX所发明,FPGA一经发明,后续的发展速度之快,超出大多数人的想象,近些年的FPGA,始终引领先进的工艺。在通 ......

第二次作业(登陆界面与简易计算机)

一.实验目的 设计一个包含登录界面的计算器软件,该软件可以实现第一次作业中的全部功能,同时可以保存用户的历史计算记录。 二.项目功能 简易计算机的建立 登陆界面 登录和注册功能的实现 数据库保存数据 三.使用环境 使用Microsoft Visio作绘图工具 使用Java语言与IntelliJ ID ......
简易 界面 计算机

滤波器基础

常见三种陷波滤波器(Notch Filter)的离散化设计 https://blog.csdn.net/qczhao_10/article/details/128073104 双线性变换 推导整理 https://zhuanlan.zhihu.com/p/518202321 【MATLAB】【数字信 ......
滤波器 基础

FPGA入门笔记005——阻塞赋值和非阻塞赋值的区别

定义一个示例模组,代码如下: module block_nonblock( Clk, Rst_n, a, b, c, out ); input Clk; input Rst_n; input a,b,c; output reg[1:0]out; //out = a + b + c,out最大为3,所 ......
笔记 FPGA 005

FPGA入门笔记004——BCD计数器设计与使用

1、设置一个最大值为10的四位计数器,Verilog代码如下: module BCD_Counter( Clk, Cin, Rst_n, Cout, q ); input Clk; //计数器基准时钟 input Cin; //计数器进位输入 input Rst_n; //系统复位 // outpu ......
计数器 笔记 FPGA 004 BCD

模拟集成电路设计系列博客——4.1.4 二阶Gm-C滤波器

4.1.4 二阶Gm-C滤波器 下图展示了一个全差分二阶\(G_m-C\)滤波器,其传输函数可以表达为: \[H(s)=\frac{V_{out}(s)}{V_{in}(s)}=\frac{s^2C_X/(C_X+C_B)+sG_{m5}/(C_X+C_B)+G_{m2}G_{m4}/[C_A(C_ ......
滤波器 电路设计 电路 博客 Gm-C

《最新出炉》系列初窥篇-Python+Playwright自动化测试-31-JavaScript的调用执行-上篇

1.简介 在做web自动化时,有些情况playwright的api无法完成以及无法应对,需要通过或者借助第三方手段比如js来完成实现,比如:去改变某些元素对象的属性或者进行一些特殊的操作,本文讲解playwright怎样来调用JavaScript完成特殊操作。 2.用法 上一篇中就提到过,这里提取一 ......
上篇 Playwright JavaScript Python 31

聊聊如何利用springcloud gateway实现简易版灰度路由

前言 前阵子时间和朋友聊天,他们有个sass微服务,因为之前拆分过细,导致服务不仅调用链路过长,而且浪费服务资源,他们后面做了服务合并的重构,并即将上线。他觉得上线不能直接把线上的租户都全切到重构版的sass微服务,而是需要实现如下的效果 他就问我说,有没有啥开源平台可以快速支持,因为之前时间都耗费 ......
灰度 路由 springcloud 简易 gateway

模拟集成电路设计系列博客——4.1.3 一阶Gm-C滤波器

4.1.3 一阶Gm-C滤波器 假设我们希望实现如下图所示系统框图的单端\(G_m-C\)滤波器。 我们可以使用下图所示的电路: 系统框图中的增益通过跨导器来实现,积分通过电容\(C_A\)来实现,而\(C_X\)对应了系统框图中前馈通路\(k_1 s\)。 上面这个一阶\(G_m-C\)滤波器的传 ......
滤波器 电路设计 电路 博客 Gm-C

模拟集成电路设计系列博客——4.1.1 Gm-C滤波器基本单元

4.1.1 Gm-C滤波器基本单元 积分器是大部分连续时间滤波器的主要组成单元。为了实现\(G_m-C\)滤波器中的积分器,可以使用如下图所示将一个跨导器和一个电容进行连接。跨导器首先是一个跨导单元(输入电压产生输出电流)此外还需要输出电流和输入电压呈线性关系。因此,跨导器的输出\(i_o\),在输 ......
滤波器 电路设计 电路 单元 博客

一个简易的SubScribe类

SubScribe即发布订阅模式,在工作中有着广泛的应用,比如跨组件通信,微前端系统中跨子应用通信等等。 以下是一个简易的实现: 订阅 初始化时可限制类型 发布 限制类型是为了让订阅者和发布者知道预制了哪些类型,避免使用了一些对方不知道的类型。 type Subscriber<T> = (param ......
简易 SubScribe

FPGA入门笔记003——计数器IP核调用与验证

FPGA设计方式主要有三种: 1、原理图(不推荐); 2、Verilog HDL设计方式; 3、IP核输入方式 计数器IP核调用与验证步骤如下: 1、添加IP核文件 打开Quartus II,新建一个项目,名称为counter_ip。 选择Tools->MegaWizard Plug-In Mana ......
计数器 笔记 FPGA 003

m基于FPGA的8ASK调制解调系统verilog实现,包含testbench测试文件

1.算法仿真效果 本系统Vivado2019.2平台开发,测试结果如下: rtl结构如下: 2.算法涉及理论知识概要 8ASK(八进制振幅键控)是一种数字调制技术,它是ASK(振幅键控)的一种扩展形式。在8ASK中,信号的振幅被调制成八个不同的级别,每个级别代表三个二进制位的信息。因此,与2ASK和 ......
testbench verilog 文件 系统 FPGA

基于FPGA的RGB图像转化为灰度图实现,通过MATLAB进行辅助验证

1.算法运行效果图预览 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 基于FPGA的RGB图像转换为灰度图实现是一种在图像处理领域常见的操作。这种操作通过将彩色图像的RGB三个通道转换为单一的灰度值,使得图像处理变得更加简单和高效。 RGB图像是一种最常见 ......
灰度 图像 MATLAB FPGA RGB

简易聊天室

server.js文件内容const ws=require("ws");//导入websocket let ser = new ws.Server(f port:3000));//在端口3000创建服务器ser.on('connection',(client)=>//连接事件client.on("m ......
简易 聊天室

魔兽争霸3冰封王座1.31下载

魔兽争霸3冰封王座1.31下载 链接:https://pan.baidu.com/s/1-if6LjzZVSd_MERuy9ZHpw 提取码:shgx ......
冰封王座 王座 魔兽争霸 1.31 31

FPGA和ZYNQ 开发平台

FPGA,全称为Field-Programmable Gate Array,即现场可编程门阵列,是一种FPGA,全称为Field-Programmable Gate Array,即现场可编程门阵列,是一种数字集成电路。它是一种半定制电路,既能解决定制电路的不足,又克服了通用器件的缺点。FPGA的基本 ......
开发平台 平台 FPGA ZYNQ

使用Java写一个简易web服务器

使用Java写一个简易web服务器来替代nginx功能。 main: public static void main(String[] args) { ServerConfigLoader serverConfigLoader = Factory.serverConfigLoader(); Serv ......
简易 服务器 Java web

# FPGA入门笔记002——译码器

设计一个38译码器 项目文件编写: module my3_8( a, b, c, out ); input a; //输入端口A input b; //输入端口B input c; //输入端口C output reg[7:0]out; //输出端口 /* always块: '()'内部为敏感信号, ......
译码器 译码 笔记 FPGA 002

基于FPGA的ECG心电信号峰值检测和心率计算,包括testbench测试文件和ECG数据转换为coe文件程序

1.算法运行效果图预览 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 心电图(ECG)是一种广泛应用于医疗诊断的技术,用于监测心脏的电活动。随着医疗技术的发展,基于FPGA(现场可编程门阵列)的ECG信号处理系统越来越受到关注。这种系统具有高实时性、高可靠 ......
文件 心率 峰值 ECG testbench

m基于FPGA的4ASK调制解调系统verilog实现,包含testbench测试文件

1.算法仿真效果 本系统Vivado2019.2平台开发,测试结果如下: rtl结构如下: 2.算法涉及理论知识概要 随着通信技术的不断发展,多进制数字调制方式逐渐受到人们的关注。其中,4ASK(四进制振幅键控)作为一种有效的调制方式,在通信系统中具有广泛的应用前景。4ASK调制是一种多进制数字调制 ......
testbench verilog 文件 系统 FPGA

Linux(Centos7)升级MySQL 5.7到8.0.31

一、下载MySQL安装包 下载地址:https://downloads.mysql.com/archives/community/ 二、备份 mkdir /home/mysqlback mysqldump -u root -p --all-databases > /home/mysqlback/my ......
Centos7 Centos Linux MySQL 5.7

#4 2023.10.31

看起来咕了很多题的题解,不管了。 320. cf804f Fake bullions 缩点。每个强连通分量内模 gcd 相同的点就是同一个点。因为图是个链,可以暴力转移。 321. cf833e Caramel Clouds 一开始想的是二分答案,然后是答辩分类讨论。。 考虑把数轴劈成若干个段,每一 ......
2023 10 31

FPGA入门学习笔记001

1、assign assign为连续赋值语句,通常用于组合逻辑电路,例如: assign led_out = (key_in == 0)? a : b; 2、timescale 例如: `timescale 1ns/1ps 定义了一个仿真精度。 '1ns'为仿真步进,例如设置100的延时'#100' ......
笔记 FPGA 001
共1070篇  :6/36页 首页上一页6下一页尾页