示波器 简易fpga 31

PVE安装教程(简易教程)

1、制作Ventoy启动优盘 2、复制pve的安装程序到优盘里 3、设置优盘启动 4、然后无脑下一步,中间需要设置登录账号和密码。 ......
教程 简易 PVE

编写简易scanner计算器

要求: 具有加、减、乘、除功能 能够循环接收新的数据 通过用户交互实现(Scanner) 思路推荐: 写4个方法:加、减、乘、除 利用循环+switch进行用户交互 传递需要操作的两个数 输出结果 疑问: 要求不太明确,“循环接收新数据”是指要求“能重复进行两个数的四则运算”,还是“实现连续四则运算 ......
计算器 简易 scanner

基于FPGA的图像形态学膨胀算法实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 在FPGA中仿真结果如下所示: 将FPGA中的仿真结果导入到matlab显示二维图,效果如下: 2.算法运行软件版本 matlab2022a vivado2019.2 3.算法理论概述 膨胀操作是形态学中另外一种基本的操作。膨胀操作和腐蚀操作的作用是相反的,膨胀操作能对图像的 ......
形态学 算法 形态 图像 文件

基于FPGA的数字时钟设计与实现(含源码)

随着数字电子技术的不断发展,基于FPGA(现场可编程门阵列)的数字时钟设计方案逐渐成为了一种流行的选择。本篇博客将详细介绍如何利用FPGA实现一个简单的数字时钟,涉及到分频器、数码管驱动、时分秒计数、三八译码器和扫描数码管等模块。 1. 系统设计概述 在本设计中,我们将使用FPGA来实现一个基本的数 ......
时钟 源码 数字 FPGA

CSP第31次认证题解 2023.9

A、坐标变换(其一) 样例输入 3 2 10 10 0 0 10 -20 1 -1 0 0 样例输出 21 -11 20 -10 题解 按照题目,一个循环即可 #include <bits/stdc++.h> using namespace std; #define N 200010 #define ......
题解 2023.9 2023 CSP

RGB转YCbCr——基于《基于MATLAB与FPGA的图像处理教程》

YCbCr介绍 YCbCr由Y、Cb、Cr组成。为一种数字信号 1、Y:表示颜色的明亮度和浓度,也可叫灰度阶。(通过RGB转换YCBCR提取Y分量也可以得到灰度图像) 2、Cb:表示颜色的蓝色浓度偏移量即RGB输入信号蓝色部分与RGB信号亮度值之间的差异。 3、Cr:表示颜色的红色浓度偏移量即RGB ......
图像处理 图像 教程 MATLAB YCbCr

基于FPGA的图像白平衡算法实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 FPGA(Field-Programmable Gate Array)是一种可编程逻辑电路,可以通过编程实现各种算法,包括图像白平衡算法。图像白平衡算法是一种用于调整图像颜色温度的方法, ......
算法 图像 文件 MATLAB FPGA

【友晶科技TERASIC】【翻译】SOC FPGA的SD卡IMAGE制作——4. 生成Preloaderc

翻译原文来自:https://www.rocketboards.org/foswiki/Documentation/EmbeddedLinuxBeginnerSGuide ......
Preloaderc TERASIC IMAGE 科技 FPGA

英特尔oneAPI的FPGA环境激活命令

背景 编译报错 OpenCL platform ID is empty OpenCL platform name is empty Failed to find any of these OpenCL platforms: Intel(R) FPGA Emulation Platform for O ......
激活 命令 环境 oneAPI FPGA

FPGA入门笔记007_A——按键消抖模块设计与验证(状态机、$random、仿真模型、task语法)

实验现象: 每次按下按键0,4个LED显示状态以二进制加法格式加1。 每次按下按键1,4个LED显示状态以二进制加法格式减1。 知识点: 1、testbench中随机数发生函数$random的使用; 2、仿真模型的概念 1、按键波形分析: 按键未按,FPGA管脚检测到高电平。 按键按下,FPGA管脚 ......
语法 按键 模块 模型 状态

31. 干货系列从零用Rust编写正反向代理,HTTP限流的实现(limit_req)

HTTP限流是在HTTP请求处理过程中,对请求进行限制的一种技术手段。本文讲述wmproxy中如何处理限流的原理及源码实现。 ......
干货 limit_req limit Rust HTTP

基于百度云API的简易机器翻译

import okhttp3.*;import org.json.JSONArray;import org.json.JSONObject; import javax.swing.*;import java.awt.*;import java.awt.event.ActionEvent;import ......
简易 机器 API

31_rust_高级特性

高级特性 不安全rust 高级Trait 高级类型 高级函数和闭包 宏 不安全rust 隐藏这第二个语言,其未强制内存安全保证:Unsafe rust(不安全的rust);其和普通rust一样,但提供了额外的“超能力”。 unsafe rust存在的原因: 静态分析是保守的,使用unsafe rus ......
特性 rust 31

FPGA 实现SPI 主机双工通信 CS前后肩可调 操作时钟频率可调 ,SPI模式可调,传输位宽可调(最大32位)

1 //testbench 2 `timescale 1ns/1ns 3 module lcd_spi_m_tb(); 4 reg rst_n_i; 5 reg spi_clkx_i; 6 reg [31:0] spi_data_i; 7 reg spi_start; 8 reg spi_miso_ ......
时钟 SPI 频率 主机 模式

哇塞,实测780MB/s!基于RK3568J与FPGA的PCIe通信案例详解

ARM + FPGA架构有何种优势 近年来,随着中国新基建、中国制造2025的持续推进,单ARM处理器越来越难满足工业现场的功能要求,特别是能源电力、工业控制、智慧医疗等行业通常需要ARM + FPGA架构的处理器平台来实现特定的功能,例如多路/高速AD采集、多路网口、多路串口、多路/高速并行DI/ ......
案例 3568J 3568 FPGA PCIe

基于LUT查找表方法的图像gamma校正算法FPGA实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 将gamma=2.2和gamma=1/2.2的数据分别导入到matlab进行对比: 2.算法运行软件版本 matlab2022a 3.算法理论概述 基于LUT查找表方法的图像gamma校正算法是一种用于改善图像显示效果的技术,它通过对图像像素的灰度值进行非线性变换,使得图像在 ......
算法 图像 文件 方法 MATLAB

基于FPGA的图像sobel锐化实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 将FPGA的仿真结果导入到matlab显示图像效果 2.算法运行软件版本 MATLAB2022a,vivado2019.2 3.算法理论概述 基于FPGA的图像Sobel锐化实现,是一种通过使用Sobel算子对图像进行边缘检测,从而提高图像清晰度的方法。Sobel算子是一种常 ......
图像 文件 MATLAB sobel FPGA

每日总结(31)

代码时间(包括上课)5h 代码量(行):100行 博客数量(篇):1篇 相关事项: 1、今天是周二,今天上午上的是大型数据库应用技术和习概,大型数据库应用技术写的是最后的实验,习概讲的是坚持以人民为中心。 2、今天下午上的是软件案例开发分析,软件案例开发分析的大作业写完了,然后写的是大数据的实验报告 ......

HDMI——FPGA

简介 VGA 接口体积较大,不利于便携设备的集成;且传输的模拟信号易受外界干扰,产生信号畸变。为了解决 VGA 接口的诸多问题,视频接口开始了一次革新。 VGA 接口之后,首先推出的的是 DVI 接口, DVI 是基于 TMDS(Transition Minimized Differential S ......
HDMI FPGA

AM62x GPMC并口如何实现“小数据-低时延,大数据-高带宽”—ARM+FPGA低成本通信方案

GPMC并口简介 GPMC(General Purpose Memory Controller)是TI处理器特有的通用存储器控制器接口,支持8/16bit数据位宽,支持128MB访问空间,最高时钟速率133MHz。GPMC是AM62x、AM64x、AM437x、AM335x、AM57x等处理器专用于 ......
数据 并口 带宽 成本 方案

Java开发者的Python快速进修指南:实战之简易跳表

通过实现一个简易版本的跳表,可以加深了对Python编程的理解。跳表是一种跳跃式的数据结构,通过索引层提供快速查找的能力,提高了查找的效率。在实现跳表的过程中,会更加熟悉了Python的语法和特性,并且可以更加灵活地运用它来解决实际问题。 ......
开发者 简易 实战 指南 Python

推荐一些有关硬件/FPGA/电路方面的好用的在线网站(持续更新~)

有关硬件/FPGA/电路方面的好用的在线网站 1、在线绘制逻辑门电路图的网址Visual Paradigm: 逻辑图软件 (visual-paradigm.com) 2、在线绘制时序图的网址WaveDrom: WaveDrom - Digital timing diagram everywhere ......
电路 方面 硬件 网站 FPGA

实现一个简易的golang版本的CompletetableFuture

背景 将入侵4.0 java代码迁移到入侵5.0 golang项目时,有些并行处理的功能用到了java的CompletetableFuture框架,但是golang中好像没有类似的框架(可能我没有找到),所以打算手动写一个简易的golang版本的CompletetableFuture。 在入侵jav ......
CompletetableFuture 简易 版本 golang

iwtgm-31

题目链接 A. int a[5]; void solve() { int ma=0; for(int i=1;i<=3;i++){ cin>>a[i]; a[i]=(a[i]+1)/2; ma=max(ma,a[i]); } int ans=0; for(int i=1;i<=3;i++){ if( ......
iwtgm 31

【FPGA】FIR数字滤波器设计心得

【FPGA】FIR数字滤波器设计心得 0 前言 学校的课程设计要求设计FIR滤波器,FPGA芯片是Xilinx的Artix 7,板卡是依元素科技有限公司做的拓展板,2017年的,目前搜不到了,估计是被迭代了。 本文作为课程设计的复盘和总结。 1 FIR滤波器设计 1.1 原理 输入输出特性: FIR ......
滤波器 心得 数字 FPGA FIR

使用QPainter制作一个简易的相册

PlayImage 记得一键三连哦 一个使用简单的QPainter绘图事件实现图片播放器的简易demo 支持图片切换 支持多路更新,自己扩展即可 支持幻灯片播放 PlayImage自定义控件支持复用,对外提供updateImage和updatePixmap接口,对传入的image和pixmap进行图 ......
简易 QPainter 相册

简易电路

......
简易 电路

基于FPGA的图像指数对比度增强算法实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 2.算法运行软件版本 Vivado2019.2 matlab2022a 3.算法理论概述 3.1图像指数对比度增强概述 图像指数对比度增强是一种常见的图像处理方法,主要是通过改变图像的像素值来增强图像的对比度。具体来说,它通常通过将原始图像的像素值进行缩放和偏移来拉伸像素值的 ......
对比度 算法 图像 指数 文件

基于FFmpeg和Qt实现简易视频播放器

VideoPlay001 记得一键三连哦 使用qt+ffmpeg开发简单的视频播放器,无声音 视频解码使用的是软解码即只用CPU进行QPainter绘制每一帧图像,CPU占用过高 简单易学,适合小白入门学习FFMpeg视频解析的基本API 遗留问题 视频播放时间的处理,基匀速播放的实现原理 项目代码 ......
简易 播放器 FFmpeg 视频

一个用于多线程共享数据保护测试的简易游戏服务器代码

#include <iostream> #include <thread> #include <list> #include <mutex> //一个线程负责从客户端读取用户的命令,放入一个队列中; //另一个线程负责从队列中读取命令并解析,假设用一个int变量代表一个命令。 class A { p ......
线程 简易 代码 服务器 数据
共1070篇  :5/36页 首页上一页5下一页尾页