程序设计20231311课程 计算机

2.1 Java程序设计基础

1 Java程序设计基础 1.1 要想编写规范、可读性高的 Java 程序,就必须对 Java 基本语法有所了解。基本语法是所有编程语言都必须掌握的基础知识,也是整个程序代码不可缺少的重要部分。 一个 Java 程序通常由数据类型、变量、运算符和控制流程语句4部分组成。其中数据类型和运算符不仅定义了 ......
程序设计 基础 程序 Java 2.1

Vue学习二:指令补充、computed计算属性、watch侦听器、案例:水果购物车

一、指令修饰符 通过"."指明一些指令后缀,不同后缀封装了不同的处理操作 → 简化代码①按键修饰符@keyup.enter → 键盘回车监听②v-model修饰符v-model.trim →去除首尾空格v-model.number →转数字③事件修饰符@事件名.stop →阻止冒泡@事件名.prev ......
侦听器 指令 购物车 computed 属性

计算流体力学

发展历程: 早在20世纪初,理查德就已提出用数值方法来解流体力学问题的思想。但是由于这种问题本身的复杂性和当时计算工具的落后,这一思想并未引起人们重视。 自从40年代中期电子计算机问世以来,用电子计算机进行数值模拟和计算才成为现实。 1963年美国的F.H.哈洛和J.E.弗罗姆用当时的IBM7090 ......
流体力学 流体 力学

排列中的数值问题(改编自NOIP2018程序填空第2大题)

题目描述 对于一个 \(1\) 到 \(n\) 的排列 \(p_1, p_2, \ldots, p_n\)(即 \(1\) 到 \(n\) 中每一个数在数列 \(p\) 中出现了恰好一次),令 \(q_i\) 为第 \(i\) 个位置之后第一个比 \(p_i\) 值更大的位置,如果不存在这样的位置, ......
数值 程序 问题 NOIP 2018

SWUST 算法分析与设计 实验报告1

Locker doors实验报告 一、 实验内容及目的 实验内容: 有一组数从1~n。从1开始,访问第i个数和它的倍数。以此类推。当i = n 结束时,求有多少个数的访问次数为奇数。 实验目的: 验证不同的算法,在不同的数据规模的情况下,运行时间的变化情况,绘制成曲线图,比较算法的优劣性。体会蛮力算 ......
算法 报告 SWUST

mac的m芯片上跑cuda程序

config里 parser.add_argument('--device', type=str, default='mps') main里 device = torch.device(cfg['device']) train里 x_batch = x_batch.astype('float32') ......
芯片 程序 cuda mac

09-计算属性

Computed 计算属性是 Vue 中常用的一个功能,那为什么要使用计算属性 我们假定一个前提情况,如果我们需要用到一个属性,但这个属性不存在,却可以通过其他属性计算得来,我们则可以通过以下几个方法进行实现: 1) 插值语法实现 2) methods实现 3) 计算属性实现 例:我们已知 姓、名属 ......
属性 09

Spring 框架中用到了哪些设计模式

单例,spring的bean的scope默认就是单例 工厂,例如beanfactory,ApplicationContext创建对象 代理,aop使用了代理 模板,以xxxtemplate结尾的都是用到了模板模式,例如jdbc,redis,rabbit~ 剩下的 包装器设计模式 观察者模式 适配器模 ......
设计模式 中用 框架 模式 Spring

ClickHouse使用之三 ——go程序操作

1. 得到一个clickhouse连接: import ( "context" "fmt" "log" "github.com/ClickHouse/clickhouse-go/v2" "github.com/ClickHouse/clickhouse-go/v2/lib/driver" ) fun ......
ClickHouse 程序

视频监控平台EasyCVR分组批量绑定/取消通道功能的后端代码设计逻辑介绍

视频监控平台/视频存储/视频分析平台EasyCVR基于云边端一体化管理,可支持视频实时监控、云端录像、云存储、磁盘阵列存储、回放与检索、智能告警、平台级联等功能。安防监控平台在线下场景中应用广泛,包括智慧工地、智慧工厂、智慧校园、智慧社区等等。 在前期的文章中我们介绍了关于视频监控平台/视频汇聚Ea ......
视频监控 逻辑 通道 EasyCVR 代码

视频监控平台EasyCVR分组批量绑定/取消通道功能的后端代码设计逻辑介绍

视频监控平台/视频存储/视频分析平台EasyCVR基于云边端一体化管理,可支持视频实时监控、云端录像、云存储、磁盘阵列存储、回放与检索、智能告警、平台级联等功能。安防监控平台在线下场景中应用广泛,包括智慧工地、智慧工厂、智慧校园、智慧社区等等。 在前期的文章中我们介绍了关于视频监控平台/视频汇聚Ea ......
视频监控 逻辑 通道 EasyCVR 代码

07 Microblaze程序的固化方法

软件版本:vitis2021.1(vivado2021.1) 操作系统:WIN10 64bit 硬件平台:适用AMD-XILINX A7/K7/Z7/ZU/KU系列FPGA 登录"米联客"SOC|SOC社区-www.uisrc.com视频课程、答疑解惑! 1 概述 前面学习了很多Microblaze ......
Microblaze 程序 方法 07

电气设计软件有哪些?EPLAN让你成为专业工程师

作为一名电气设计师,掌握适合自己的设计软件至关重要。在本文中,我们将向您介绍五款广受欢迎的电气设计软件,无论您是初学者还是专业设计师,这些软件都能帮助您轻松完成各类电气设计任务。让我们一起来了解这些实用软件的优缺点,以帮助您选择最适合您的电气设计软件。 AutoCAD Electrical Auto ......
设计软件 电气 工程师 工程 专业

30岁程序媛求职路复盘:文转码+失业半年+PHP如何涨薪5K!?

这篇文章来自一位群友的分享: 这篇文章写于下班路上,刚刚入职不久,我想再冲刺一下大厂,阳哥建议我坚持总结打卡,可以尝试写写博客。 那我就从这篇开始吧,希望开个好头! 上班的感觉真好 今天是入职的第二周,还在熟悉业务和代码,晚上下班和周末还在补业务知识和技术栈。 我就趁着在地铁上的时间来复盘一下202 ......
半年 程序 PHP

04程序的固化和下载

03使用ILA IP、直接添加信号法和VIO进行调试 软件版本:vitis2021.1(vivado2021.1) 操作系统:WIN10 64bit 硬件平台:适用AMD-XILINX A7/K7/Z7/ZU/KU系列FPGA 登录"米联客"SOC|SOC社区-www.uisrc.com视频课程、答 ......
程序

【转载】为什么记笔记的应用程序不能让我们更聪明_Why_note-taking_apps_don’t_make_us_smarter

/ They’re designed for storage, not sparking insights. Can AI change that? / 它们专为存储而设计,而不是激发见解。人工智能能改变这一点吗? By Casey Newton, a contributing editor who ......

01AMD FPGA vitis-vivado软件快速入门课程

软件版本:vitis2021.1(vivado2021.1) 操作系统:WIN10 64bit 硬件平台:适用AMD-XILINX A7/K7/Z7/ZU/KU系列FPGA 登录"米联客"SOC|SOC社区-www.uisrc.com视频课程、答疑解惑! 1 概述 本实验通过一个基本的FPGA工程创 ......
vitis-vivado 课程 vivado vitis 软件

米联客AMD FPGA/SOC 2024版本课程序言

序1: FPGA芯片是硬件技术而FPGA编程又称为硬件编程语言和流行的各类软件编程语言C/C++、JAVA、python等相比,掌握基础的硬件编程语言不是难事,难点是FPGA在每个专业领域的应用,只有充分理解了FPGA,并且具有对自己所处行业专业背景认知,才能真正理解FPGA应该用在什么场合更加合适 ......
序言 版本 课程 2024 FPGA

[框架设计之道(二)]设备、任务设置及业务流程

目录 说明 此文档是开发中对设备设置项的管理。因为硬件在使用的过程中涉及大量设置项,因此需要单独开一篇文档说明设备的设置和任务的设置。 一、设备设置 1.基础接口 /// /// 配置文件管理模块 /// class TSG_ConfigHelper : public TSG_Framework { ......
业务流程 框架 流程 任务 业务

米联客 2024 版 FPGA 课程快速入口课程-目录速览(网页版没有页码)

目录 米联客 2024 版 FPGA 课程快速入口课程 1 01AMD FPGA vitis-vivado软件快速入门课程 9 1 概述 9 2 新建VIVADO工程 9 3 添加代码管理文件夹 12 4添加PLL IP核 12 5 新建工程文件 18 6完善RTL代码 22 7 添加管脚约束文件 ......
课程 页码 入口 网页 目录

Windows外网远程访问计算机

前言 一直想着找一个稳定的远程,但是从最初的TeamView,到后来的向日葵、QQ远程、ToDesk、Chrome远程桌面。开始都还不错,但是后来感觉画质模糊,主要是频繁断线,很浪费时间。 最近不太想再继续用博客园写文章了,今天看到一篇博客园官方发的文章《弹尽粮绝,会员救园:会员上线,命悬一线》 感 ......
Windows 计算机

JAVA程序优雅停机

什么是优雅停机: 就是对应用进程发送停止指令之后,执行的一系列保证应用正常关闭的操作。这些操作往往包括等待已有请求执行完成、关闭线程、关闭连接和释放资源等 就是对应用进程发送停止指令之后,能保证正在执行的业务操作不受影响,可以继续完成已有请求的处理,但是停止接受新请求 本质上是JVM即将关闭前执行的 ......
程序 JAVA

C#应用程序的多语言方案 - 开源研究系列文章

今天讲讲笔者自创的C#应用程序多语言的方案。 这个多语言方案,主要是对应用的窗体及其控件进行检索,然后根据控件的名称进行在语言字典里进行检索获取到对应的语言文本进行赋值显示的。笔者对网上的多语言方案进行过搜索和查阅,觉得不太满意,主要是代码比较多,而且速度不那么快。所以笔者就自创了这个多语言的方案, ......
应用程序 方案 程序 文章

【23种设计模式】组合模式(七)

前言 组合模式,英文名称是:Composite Pattern。当我们谈到这个模式的时候,有一个物件和这个模式很像,也符合这个模式要表达的意思,那就是“俄罗斯套娃”。“俄罗斯套娃”就是大的瓷器娃娃里面装着一个小的瓷器娃娃,小的瓷器娃娃里面再装着更小的瓷器娃娃,直到最后一个不能再装更小的瓷器娃娃的那个 ......
模式 设计模式

火山引擎DataLeap的数据血缘用例与设计概述

更多技术交流、求职机会,欢迎关注字节跳动数据平台微信公众号,回复【1】进入官方交流群 数据血缘描述了数据的来源和去向,以及数据在多个处理过程中的转换。数据血缘是组织内使数据发挥价值的重要基础能力。本文从字节的数据链路概况开始,介绍了数据血缘在字节的应用场景,总体设计,数据模型以及衡量指标。 字节数据 ......
血缘 火山 DataLeap 引擎 数据

网文写作:挫折设计

在小说中,挫折指的是主要角色面临的困难、障碍或失败,常常是在追求目标时遭遇的阻碍和挑战。挫折是故事发展中不可或缺的部分,它能够增加紧张感、吸引读者兴趣,并推动剧情向前发展。 以下是一些可能出现挫折的设计方面的例子: 1. 情感挫折:主人公经历爱情上的挫折,例如被拒绝、背叛或失去伴侣。这可以给主人公带 ......
挫折

例2.8 已知带头结点单链表L,设计算法实现:以表中第一元素作为标准,将表中所有值小于第一个元素的结点均放在第一结点之前,所有值大于第一元素的结点均放在第一元素结点之后。

1.题目 例2.8已知带头结点单链表L,设计算法实现:以表中第一元素作为标准,将表中所有值小于第一个元素的结点均放在第一结点之前,所有值大于第一元素的结点均放在第一元素结点之后。 2.算法分析 3.代码 //顺序调整 void changeList(LinkList L){ int temp; /* ......
结点 元素 算法 标准 2.8

计算根目录下子目录中的文件总数

可以统计根目录下每个子目录中文件的总数,例如硬盘inode消耗完了,可以用来查找那个子目录中的文件多导致的。 #!/bin/bash for dir in /*; do # 遍历系统根目录 (/) 下的所有文件和目录 if [ -d "$dir" ]; then count=$(find "$dir ......
根目录 总数 文件 目录

小程序打点案例分享总结

0x00 前言刚结束某地HVV,小程序作为低成本易用的信息化系统,成为HVV新型重点突破对象。以下案例均来自于小程序,供大家学习。0x01 案例一 某政务系统1.弱口令进入后台点击小程序,进入公民办事,抓到小程序域名,访问直接是管理员后台,如下页面即为Fastadmin框架 。一直有个坑,登录一直显 ......
案例 程序

文盘Rust -- 给程序加个日志

日志是应用程序的重要组成部分。无论是服务端程序还是客户端程序都需要日志做为错误输出或者业务记录。在这篇文章中,我们结合log4rs聊聊rust 程序中如何使用日志。 ......
程序 日志 Rust