网站首页 教程django博客

放弃WordPress,纯手撸一个导航网站

最近AI好火啊,各种AI工具导航网站也层出不穷,思路就是建站然后流量做大赚广告费。 于是,我仔细研究下了所谓的导航网站,不仅AI领域,其他诸如编程啊,产品经理啊,跨境电商啊等等行业都有导航站,的确极大的增加了工作效率,做到了工具和资源的整合。 从技术的角度讲,各大导航网站无一例外都是使用了Wordp ......
WordPress 网站

第一次试发博客实验测试1

爱上发色换个地方杀他金沙滩人家还是太弱和gear和Astra哈尔特人哈尔特人和沙特忍饥挨饿和肉体和我同核问题回家而且阿加瓦尔突然 ......
第一次 博客

MCGS 昆仑通态触摸屏开机进度条、延时启动主画面设置教程

步骤1新建一个窗口设置为启动窗口; 步骤2在启动窗口界面添加百分比填充构件; 步骤3拖动放置后双击构件设置参数; 步骤4双击空白处打开窗口编辑脚本; 设置完成后就可以开机显示3秒进度条然后切换至主界面 ......
触摸屏 进度 画面 教程 MCGS

树叶识别系统python+Django网页界面+TensorFlow+算法模型+数据集+图像识别分类

一、介绍 树叶识别系统。使用Python作为主要编程语言开发,通过收集常见的6中树叶('广玉兰', '杜鹃', '梧桐', '樟叶', '芭蕉', '银杏')图片作为数据集,然后使用TensorFlow搭建ResNet50算法网络模型,通过对数据集进行处理后进行模型迭代训练,得到一个识别精度较高的H ......
算法 树叶 TensorFlow 模型 图像

博客更新预告

昨晚审视了一下四年前自己的工作,不禁感叹,当时真是一个青涩纯粹的孩子啊。 发现了一些文章当中的知识性错误,接下来的时间当中,初步计划有所更新。 不仅是重新组合已有的内容,还会写一写有关于标准库设施,面向对象编程的内容。 不是信息科学专业,但是仍然热爱计算机的天体物理学生一枚。 欢迎关注,一起学习,共 ......
博客

PostgreSQL 教程

本 PostgreSQL 教程可帮助您快速了解 PostgreSQL。您将通过许多实际示例快速掌握 PostgreSQL,并将这些知识应用于使用 PostgreSQL 开发应用程序。 如果你是 … 寻求快速学习 PostgreSQL。 使用 PostgreSQL 作为后端数据库管理系统开发应用程序。 ......
PostgreSQL 教程

小程序直接调用网站的URL

条件 域名备案 HTTPS 企业认证小程序 步骤 在小程序后台(https://mp.weixin.qq.com/wxamp/devprofile/getprofile)配置新增的域名; 打开微信开发者工具; 创建小程序; 打开「index.wxml」文件,清空其内容,填写以下内容; <web-vi ......
程序 网站 URL

关于django中间件执行过程

众所周知,django的中间件一般有五个方法,常用的仅三个,示例如下 from django.utils.deprecation import MiddlewareMixin from django.http import HttpResponse 中间件示例 class MyMiddleWare( ......
中间件 过程 django

NUC双系统安装详细教程

硬件 NUC8i5BEH 读卡器硬改白苹果拆机Wi-Fi蓝牙二合一卡(一般的改装方式会占用m.2接口这种改装方式可以省一个m.2接口) 完善情况 DP/HDMI 4K 60hz 视频输出+音频输出(可双屏 4K 60hz) 全部 USB3 / USB2 接口 雷电3(支持 DP 1.2、USB 3. ......
教程 系统 NUC

佟伟明博客

学期2023-2024-1 20231409 《计算机基础与程序设计》第三周学习总结 作业信息 这个作业属于哪个课程 2023-2024-1-计算机基础与程序设计 这个作业要求在哪里 2023-2024-1计算机基础与程序设计第三周作业 这个作业的目标 自学教材:计算机科学概论第2章,第3章,C语言 ......
博客

利用python学习如何处理需要登录的网站

要处理需要登录的网站,你可以按照以下步骤进行学习: 了解网站的登录机制:登录机制通常有用户名密码登录、OAuth授权登录、Cookie登录等。了解目标网站使用的登录机制是学习处理的第一步。 使用Web抓取工具模拟登录:通过使用工具如Selenium或BeautifulSoup等,你可以编写代码来模拟 ......
python 网站

IntelliJ IDEA 2023.2.3 最新版安装激活教程

1.下载安装IntelliJ IDEA 建议大家直接在官网下载最新版本,登陆官网,我下载的是的2023.2.3,2023最新版本以及2021版本以上的版本都支持。 一步一步确定安装,然后打开 这里提示输入激活码,先关闭应用!!! 2.下载激活工具 打开下载好的工具 windows的样子 打开scri ......
最新版 激活 IntelliJ 教程 IDEA

ORBSLAM3 安装及测试教程(Ubuntu20.04)

1. 准备工作 1.1 安装依赖 sudo apt install git cmake gcc g++ mlocate 1.2 下载 ORBSLAM3 源码 可以去 Github 下载源码:https://github.com/UZ-SLAMLab/ORB_SLAM3 或者终端内下载:git clo ......
ORBSLAM3 ORBSLAM 教程 Ubuntu 20.04

django 静态文件

静态: STATIC_URL = 'static/'STATIC_ROOT = os.path.join(BASE_DIR, '/static/')STATICFILES_DIRS = ( os.path.join(BASE_DIR, 'static'),) STATIC_ROOT = os.pat ......
静态 文件 django

车辆车型识别系统python+TensorFlow+Django网页界面+算法模型

一、介绍 车辆车型识别系统。本系统使用Python作为主要开发编程语言,通过TensorFlow搭建算法模型网络对收集到的多种车辆车型图片数据集进行训练,最后得到一个识别精度较高的模型文件。并基于该模型搭建Django框架的WEB网页端可视化操作界面。实现用户上传一张车辆车型图片识别其名称。 二、系 ......
算法 TensorFlow 车型 模型 界面

模拟集成电路设计系列博客——2.4.2 全差分折叠Cascode放大器

2.4.2 全差分折叠Cascode放大器 下图展示了一个简化的全差分折叠Cascode放大器。使用两个Cascode电流源来取代之前介绍的结构中的n沟道电流镜,并增加了一个共模反馈电路。这些电流源的驱动晶体管的栅压由共模反馈电路的输出电压\(V_{cntrl}\)决定。共模反馈电路的输入是全差分放 ......
电路设计 放大器 电路 Cascode 博客

微软带你玩转 Linux —— 发布《如何下载和安装 Linux》教程

微软近日在技术文档中心上架了一份名为《如何下载和安装 Linux》的教程指南,介绍了 4 种安装 Linux 的方案,包括:WSL、裸机安装、本地虚拟机安装和云端虚拟机安装。 这份教程重点介绍了用户在 PC 上下载和安装 Linux 发行版的必要步骤,包括选择合适的 Linux 发行版本,推荐首选的 ......
Linux 教程

Django开发要点

一、内置标签及自定义 标签 描述 遍历输出上下文的内容 对上下文进行条件判断 生成csrf_token的标签,用于防护跨站请求伪造攻击 引用路由配置的地址,生成相应的路由地址 将上下文重新命名 加载导入Django的标签库 读取静态资源的文件内容 模板的继承,xxx为被继承的HTML模板的文件名,当 ......
要点 Django

大型网站架构技术

大型网站架构技术 大型网站特点 用户多,分布广泛 大流量、高并发 易受攻击 功能多、变更频繁 海量数据 从小到大、逐渐发展 架构目标 高性能:提供快速访问体验 高可用:网站服务一直正常访问 可伸缩:通过硬件增加/减少,提高/降低处理能力 可扩展:系统间耦合低,方便通过新增/移除方式,增加/减少新的功 ......
架构 技术 网站

使用GitHub Actions自动部署Hexo博客

准备两个仓库 源码库:hexo源码仓库 网页库:{username}.github.io 目标 在源码库编写博客,推送到远端后,触发 Github Actions。Actions 配置 hexo 环境,生成 hexo 文件后,推送到网页库。 推送网页到网页库的权限问题,通过 Github 的 acc ......
Actions GitHub 博客 Hexo

写博客的利弊分析:自私的分享

因为公司最近安装了加密系统,我积累经验的本地文档没有办法再云查看了,很苦恼,构建的知识体系也随之崩盘。一直在找方法具去重新构建,试过flowus等几款流行的软件,感觉实用性不大。CSDN博客,广告太多舍弃。微信公众号,登录还有操作太麻烦,舍弃。 我想搭建一下自己的知识体系,不懂的就先记录下来,有时间 ......
利弊 博客

使用Pycharm环境,搭建Django开发环境

早期都会直接在Linux系统下,直接把很多py包装到物理系统里。 不过事实证明,装在虚拟环境里要方便得多,尤其是早期很多工程做到一半发现写不下去了。 假如IDE选择的是Pycharm的话,实际上在它的工具里,就非常方便了。 新建一个工程后,在Pycharm里,点击如上图所示的蓝色按钮,就进入了虚拟环 ......
环境 Pycharm Django

如何搭建自己的博客

本章目的:构建自己的技术博客,搭建框架和填写内容。 1.前言 前一章已将声明写博客的利弊,这里作者就简写一下如何构建自己的技术博客; 2.编写技术博客的步骤 写技术博客必须要经过5步: 1)提出理念; 2)搭建框架; 3)寻找资料,系统化整合; 4)自己理解清晰后,书面陈述合理; 5)站到别人的立场 ......
博客

Django 代码部署运行(Windows)

安装 git 准备好文件夹 E:\_prjct\boshi_xinxi_caiji\codes2 启动 git bash $ pwd/E/_prjct/boshi_xinxi_caiji/codes2 $ git clone https://gitee.com/xautstar/doctoral-d ......
Windows 代码 Django

Django Nginx+uwsgi 安装配置

Django Nginx+uwsgi 安装配置 在前面的章节中我们使用 python manage.py runserver 来运行服务器。这只适用测试环境中使用。 正式发布的服务,我们需要一个可以稳定而持续的服务器,比如apache, Nginx, lighttpd等,本文将以 Nginx 为例。 ......
Django Nginx uwsgi

Kafka 入门教程

Kafka是分布式发布-订阅消息系统,它最初由 LinkedIn 公司开发,使用 Scala语言编写,之后成为 Apache 项目的一部分。在Kafka集群中,没有“中心主节点”的概念,集群中所有的服务器都是对等的,因此,可以在不做任何配置的更改的情况下实现服务器的的添加与删除,同样的消息的生产者和 ......
入门教程 教程 Kafka

python自带工具 venv 简明教程

在Python中,代码可能依赖特定版本的包,如果代码a和代码b依赖包c的不同版本,这个时候就会导致 a 和 b 无法共存,也就是 a 和 b 存在冲突。 用 venv 解决办法就是,创建 2 个不同的虚拟环境来分别存放 a 和 b,这样就可以解决问题了。 以这个问题为例,简单说明如何使用 venv, ......
简明教程 工具 教程 python venv

模拟集成电路设计系列博客——2.4.1 全差分放大器的基本结构

2.4.1 全差分放大器的基本结构 对于电路来说,处理单端信号和差分信号的区别往往很小。比如下图中比较了全差分对电路和单端输出差分对。他们之间的唯一区别是在全差分电路中电流镜负载被两个匹配的电流源取代。需要注意在两个电路中功耗实际上是一样的。由于单个节点上的电压摆幅往往被固定的供电和偏置电压限制,全 ......

博客目录

对这个尚未成型的东西的目录。 To-do Mea 的线性代数 未向校外公开 联考经验与教训 密码是教练 qq 名字的小写全拼。 总结和颓废 一周总结这种 trash 就算了吧。 新开始 T_Q_X 考拉的神秘博客搬运 做题的好经验 玩钢四玩的 写挂分的统计 知识与练习 微分有限 类欧几里得 卢卡斯证 ......
目录 博客

本地浏览所有网站都不能gzip压缩了,原来如此!

某时某刻,忽然发现本地浏览所有网站html页面都不能gzip压缩了,发现请求头带了压缩支持,返回头却没有压缩标识。 http3请求却可以gzip压缩。 您猜怎么着?? 罪魁祸首是卡巴斯基。。。 网络设置里关闭 “对网络流量进行脚本注入从而与网页交互” 问题解决。。。 ......
网站 gzip