解决方案 方案 项目ict

07.项目管理与 跨部门沟通合作

目录 项目管理 跨部门沟通协作 项目管理 需求阶段 项目经理产品研发测试 活动1. 在项目管理工具中建立项目目录2. 分析项目所需资源、风险等3. 预估项目周期 活动1. 收集整理需求 参与1. 需求分析2. 环境分析 参与1. 需求分析2. 环境分析 产出1. 项目计划(大致时间规划) 产出1. ......
跨部门 项目管理 项目 07

FindBugs问题EQ_COMPARETO_USE_OBJECT_EQUALS的解决方法

本文记录的是2016年4月初发生的事情。 前几天,标准CI的静态检查页面发现一个项目组同事引入的FindBugs问题,EQ_COMPARETO_USE_OBJECT_EQUALS,CI对这个问题给出的介绍如下 Class defines compareTo(...) and uses Object. ......

前端环境搭建到创建vue项目运行

整体流程 1.安装 nvm 管理工具,用于管理 node 的版本方便 node 版本的切换 a.下载 nvm 1.官方下载地址:https://github.com/coreybutler/nvm-windows/releases 2.备用下载地址:https://xiaocai1688.lanzo ......
前端 环境 项目 vue

ASR项目实战-方案设计

对于语音识别产品的实施方案,给出简易的业务流程,仅供参考。 如下流程图,可以使用如下两个站点查看。 web chart Web Sequence Diagrams 文件转写 创建文件转写任务 客户应用->接入网关: 发送创建文件转写的请求 接入网关->安全网关: 转发请求 安全网关->安全网关: 对 ......
方案设计 实战 方案 项目 ASR

ASR项目实战-决策点

针对语音识别的产品,分别记录设计、开发过程中的决策点。 实时语音识别 对于实时语音识别来说,客户端和服务端之间实时交换语音数据和识别的结果。 客户端在启动识别时,即开始发送语音数据,期望在等待较短的时间后,即收到最初的识别结果。第一段语音数据和第一个识别结果之间的时延,一般称为首字时延。 客户端在停 ......
实战 项目 ASR

关于项目中遇到的一个loadsh中_.get()方法的一个小问题

背景:同事最近找我看一个bug,起因是我们公司产品中心写的公共的列表组件在新增数据保存的时候报错,错误如下 Invalid attempt to spread non-iterable instance(传播不可迭代的无效尝试) 查了下网上说很大可能是因为扩展运算符出错导致的,我也比较倾向于这种解释 ......
方法 项目 loadsh 问题 get

记一次 Arch Linux 滚完无法开机的解决过程

滚的时候说空间满了,我没在意。直到没法开机我才意识到事情的严重性。 先进安装时用的 Live CD,将 /home 下的重要文件都备份好。 df -lh 一看,鉴定为 / 满了,开始扩容。 fdisk /dev/nvme0n1 查看磁盘。 我的分区是 /boot,/,/home,所以先删掉 /hom ......
过程 Linux Arch

ASR项目实战-架构设计

一般而言,业务诉求作为架构设计的输入。 需求清单 对于语音识别产品而言,需满足的需求,举例如下: 功能需求 文件转写。 长文件转写,时长大于60秒,小于X小时,X可以指定为5。 短文件转写,时长小于60秒。 实时语音识别。 长语音识别,时长大于60秒,小于Y小时,Y可以指定为5。 短语音识别,时长小 ......
架构 实战 项目 ASR

OJ判题测评系统--项目基础环境搭建

写在前面 在线访问:暂无.. GitHub:https://github.com/975131701/ojSystem/ 个人博客:https://www.cnblogs.com/cyrui/ 使用说明 1、启动nacos -> startup.cmd -m standalone 2、启动rabbi ......
环境 基础 项目 系统

08fdma数据通路加入sobel算法IP方案

软件版本:vitis2021.1(vivado2021.1) 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 8.1概述 本文实验目的: 1:掌握2个uifdma_db ......
算法 方案 数据 sobel fdma

07基于fdma ddr多路视频数据构架方案

软件版本:vitis2021.1(vivado2021.1) 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 7.1概述 基于AXI总线可以使用axi_intercon ......
构架 方案 数据 视频 fdma

ASR项目实战-项目交付历程

本文记录,作为项目主要负责人,完整参与语音识别项目的交付历程。 2019年12月中旬 接到项目交付任务,收集基本知识,启动业务分析工作。 2020年1月 完成竞品分析的整理。 梳理合作伙伴的清单,整理项目计划,启动和各合作伙伴的沟通工作。 启动架构方案、设计方案的准备工作。 2020年2月 和合作伙 ......
项目 实战 历程 ASR

06 uifdma_dbuf+fdma实现数据流方案

软件版本:vitis2021.1(vivado2021.1) 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 6.1概述 uifdma_dbuf3.0开始支持了full ......
数据流 uifdma_dbuf 方案 数据 uifdma

ASR项目实战-交付团队的分工

对于通常的软件项目,参与角色,比如可以有用户,消费者,产品团队,研发团队(研发团队包括开发和测试),运营团队,运维团队,管理团队。 通常认为,用户,负责购买服务的群体,而消费者,负责使用业务的群体。这两个群体,不在本文的讨论范围之内,因此后续的介绍中,除非明确说明,否则默认均不涉及。 产品团队,研发 ......
实战 团队 项目 ASR

IPTV SQM的项目总结

本文于2015年底完成,发布在个人博客网站上,标题为《项目总结--纪念我参与过的IPTV SQM项目》。 考虑个人博客因某种原因无法修复,于是在博客园安家,之前发布的文章逐步搬迁过来。 时间很快,离开SQM团队已接近10个月,对于参与了5年的项目,很早就想写些什么来纪念;现在终于找到了时间,用自己半 ......
项目 IPTV SQM

ASR项目实战-产品分析

分析Google、讯飞、百度、阿里、QQ、搜狗等大厂的ASR服务,可以罗列出一款ASR服务所需要具备的能力。 产品分类 ASR云服务产品,从用户体验、时效性、音频时长,可以划分为如下几类: 实时短音频转写,可以用于支撑输入法、搜索、导航等场景。 实时长音频转写,可以用于支撑视频字幕、图文直播、会议直 ......
实战 项目 产品 ASR

在IDEA上创建基于maven的JFinal项目

一、创建项目: 注意:点击完成后需要等待一段时间,让idea根据webapp模板创建项目; 二、相关配置1、pom.xml配置 <?xml version="1.0" encoding="UTF-8"?> <project xmlns="http://maven.apache.org/POM/4.0 ......
项目 JFinal maven IDEA

macOS 提示:“应用程序” 已损坏,无法打开的解决方法总结

macOS 提示:“应用程序” 已损坏,无法打开的解决方法总结 请访问原文链接:https://sysin.org/blog/macos-if-crashes-when-opening/,查看最新版。原创作品,转载请保留出处。 作者主页:sysin.org 说明:本文描述问题同样适用于其他版本的 m ......
应用程序 程序 方法 macOS

ASR项目实战-前处理

本文深入探讨前处理环节。 首先介绍一些基本的名词,比如 文件名后缀 文件格式 音频格式 采样率和位深 预备知识 文件名后缀、文件格式和音频格式 常见的音频文件,比如.wav、.mp3、.m4a、.wma等,这些都代表什么? 仅仅是这类音频文件的后缀而已,不一定和音频文件的编码、音频数据的编码相关。 ......
前处理 实战 项目 ASR

ASR项目实战-后处理

本文深入探讨后处理环节。 在本环节要处理的重要特性有分词、断句、标点符号、大小写、数字等的格式归一等。 分词 和NLP、搜索等场景下的分词含义不同。对于拼音类的语言,比如英语、法语等,句子由多个单词组成,语音输出的结果,需要按需在各个单词之间补充或者去掉空格。对于中文来说,字和词之间不以空格作为边界 ......
实战 项目 ASR

[已解决] bindkey在"~/.zshrc"配置不工作

bindkey is not work in "~/.zshrc" 打算用bindkey来配置autocomplete,结果不工作。只有当进入shell后再次source ~/.zshrc才有效果 找问题找了老半天,最后发现就是zsh-vi-mode搞出的名堂,它会重新bindkey导致先前绑定的会 ......
quot bindkey zshrc

ASR项目实战-数据

使用机器学习方法来训练模型,使用训练得到的模型来预测语音数据,进而得到识别的结果文本,这是实现语音识别产品的一般思路。 本文着重介绍通用语音识别产品对于数据的诉求。 对数据的要求 训练集 相关要求,如下: 地域,需要覆盖使用人群所在的地域,且数据的比例适中。 口音,需要覆盖典型的口音。 年龄,从18 ......
实战 项目 数据 ASR

项目情景问答

1.聊一下最近做的这个养老项目? 这是个养老管理软件产品,涵盖来访管理、入退管理、在住管理、服务管理、财务管理等功能模块,涉及从来访参观到退住办理的完整流程。 该项目分为两端: 管理后台:养老院员工使用,入住、退住,给老人服务记录等等 家属端:养老院的老人家属使用,查看老人信息,缴费,下订单等等 2 ......
情景 项目

ASR项目实战-构建Kaldi

准备工作 安装构建时依赖的基础软件 软件清单如下: bzip2 python3 automake libtool cmake gcc g++ gfortran git subversion 不同平台安装软件的方式不同,比如可以使用yum或者apt-get等。 下载开源软件 软件清单如下: Libun ......
实战 项目 Kaldi ASR

ibus 输入法导致输入卡顿的解决方案

系统: Zorin OS 16 Pro 基于 Ubuntu 20.04 LTS 关键词:Linux 间歇性卡顿、输入法导致卡顿、无法输入 本问题发生的情形是系统间歇性的无法接受键盘输入,无意间发现切换输入法等待几秒后能够成功的解决。对于这个问题,有时候很头疼,非常耽误开发进度,有时候在做客户的项目时 ......
输入法 解决方案 方案 ibus

pr拖动视频到轨道,没有画面只有音频的解决方案

将所有视频轨、音频轨(比如V1 、V2、 V3、 A1 、A2 、A3)前面的选定状态点一下,都成未选择状态,再从素材箱拽到轨道上。 ......
轨道 画面 音频 解决方案 只有

ASR项目实战-语音识别

本文深入探讨语音识别处理环节。 本阶段的重点特性为语音识别、VAD、热词、文本的时间偏移、讲话人的识别等。 语音识别 业界流派众多,比如Kaldi、端到端等,具体选择哪一种,需要综合考虑人员能力、训练数据量和质量、硬件设施、交付周期等,作出相对合理的交付规划。 基于Kaldi的方案,优点在于其发挥稳 ......
实战 语音 项目 ASR

33 ADC模块FEP-DAQ9248采集显示波形方案

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 本方案通过把DAQ9248采集到的数据,通过前 ......
波形 模块 FEP-DAQ 方案 9248

32 ADC模块FEP-DAQ7606采集显示波形方案

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 本方案通过把DAQ7606采集到的数据,通过前 ......
波形 模块 FEP-DAQ 方案 7606

烂笔头:windows端口被占用的解决办法

1、查找端口被占用的pid netstat -nao | findstr 8080 返回结果里最后一列是pid 2、通过pid查找占用该端口的应用程序 tasklist | findstr "your_pid" 如果显示程序名称是java.exe,且当前有多个java程序正在运,则继续第3步。 3、 ......
笔头 端口 windows 办法
共18000篇  :17/600页 首页上一页17下一页尾页