fpga国产spartan工业

智能分析网关V4在工业园区周界防范场景中的应用

通过接入园区周界部署的安防监控摄像头,并对监控视频进行实时分析,及时发现人员的入侵、徘徊、越界等行为并抓拍和预警。 ......
周界 网关 工业园区 园区 场景

基于FPGA的图像高斯滤波实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 2.算法运行软件版本 matlab2022a vivado2019.2 3.算法理论概述 基于FPGA的图像高斯滤波实现是一种利用FPGA硬件平台对图像进行高斯滤波处理的方法。下面将详细介绍这种方法的原理和数学公式。 一、原理 高斯滤波是一种线性平滑滤波,适用于消除高斯噪声, ......
图像 文件 MATLAB FPGA

DC电源模块在工业自动化中的关键应用案例分析

BOSHIDA DC电源模块在工业自动化中的关键应用案例分析 DC电源模块在工业自动化中有多种关键应用,以下是几个案例分析 1. 传感器供电:在工业自动化中,许多传感器需要使用直流电源进行供电。传感器可以是温度传感器、压力传感器、测流传感器等等。DC电源模块可以为这些传感器提供稳定的直流电源,确保传 ......

基于FPGA的图像拼接算法实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 将FPGA的拼接结果导入到matlab,显示结果如下: 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 3.1 理论概述 基于FPGA的图像拼接算法实现是一种利用FPGA硬件平台对图像进行拼接处理的方法。基于FPGA的图像拼接算法实现 ......
算法 图像 文件 MATLAB FPGA

m基于FPGA的PPM光学脉位调制解调系统verilog实现,包含testbench

1.算法仿真效果 vivado2019.2仿真结果如下: 2.算法涉及理论知识概要 基于FPGA的PPM(脉冲位置调制)光学脉位调制解调系统是一个复杂的电子与光电子相结合的通信系统。 2.1 PPM调制解调原理 脉冲相位调制(PPM)最早由Pierce提出并应用于空间通信,是利用脉冲的相对位置来传递 ......
光学 testbench verilog 系统 FPGA

基于FPGA的图像拉普拉斯变换实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 2.算法运行软件版本 matlab2022a vivado2019.2 3.算法理论概述 拉普拉斯变换是一种二阶微分算子,用于图像增强和边缘检测。它通过计算图像中每个像素点周围像素的灰度差值,突出图像中的高频成分,从而增强边缘和细节。 对于二维图像f(x,y),拉普拉斯变换定 ......
拉普拉斯 图像 文件 MATLAB FPGA

R语言、WEKA关联规则、决策树、聚类、回归分析工业企业创新情况影响因素数据

全文链接:https://tecdat.cn/?p=34734 原文出处:拓端数据部落公众号 为了解某市规模以上工业企业创新情况,对该市企业的创新活动进行调查,分别得出三组数据testl(企业财务情况:uid=唯一编码,total_Rdeq=R&.D人员折合全时当量合计,totaLRdin=R&D经 ......
因素 规则 语言 情况 工业

FPGA新的体会:相机传感器驱动

用过了几款相机,对使用相机也有了一点心得,在此记录。 当你得到一款相机,你需要做的: 第一件事:在datasheet中阅读配置单,知道怎么配置、配置完输出来是什么。 配置输出尺寸;传输模式:DDR?SDR?;传输格式:raw8/10/12?rgb? Dvp or Lvds? 第二件事:根据接口决定驱 ......
传感器 相机 FPGA

国产深度学习框架吸引用户的一种免费手段——免费GPU时长

国产的深度学习框架基本成为了一个头部公司的标配了,不论是阿里、百度还是华为都推出了自己的深度学习框架,这几家公司为了吸引用户也都采取了免费使用GPU的活动,但是与阿里、百度的不同,华为是与固定的高校的实验室合作,为合作的大学实验室提供免费算力,并且这个算力的使用时限目前应该是没有限制的;而与华为不同 ......
时长 框架 深度 手段 国产

05 QT上位机读写FPGA内存

软件版本:vitis2021.1(vivado2021.1) 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 5.1概述 如果读者对于前面demo还有一些疑惑,那么本节 ......
内存 FPGA 05

05 FPGA 光通信预留章节

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! ......
光通信 章节 FPGA 05

06 FPGA 光通信预留章节

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! ......
光通信 章节 FPGA 06

07 FPGA 光通信预留章节

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! ......
光通信 章节 FPGA 07

08 FPGA 光通信预留章节

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! ......
光通信 章节 FPGA 08

04 FPGA光通信之8B10B ADC采集传输

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! ......
光通信 8B10B FPGA 10B B10

多开器在Windows电脑上的工业设计应用

多开器在Windows电脑上的工业设计应用 随着工业设计领域的不断发展,计算机辅助设计软件在工程师和设计师的工作中扮演着越来越重要的角色。在Windows操作系统上,多开器作为一种常见的应用程序,为工业设计领域的专业人士提供了许多便利和优势。本文将探讨多开器在工业设计应用中的重要性和实际运用。 多开 ......
工业设计 Windows 工业 电脑

3-1-04 FPGA使用fdma读写DDR

软件版本:vitis2021.1(vivado2021.1) 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 4.1概述 在前文的实验中我们详细介绍了FDMA的使用方法 ......
FPGA fdma DDR 04

1-1-02 AMD(XILINX) FPGA开发工具Vitis(vivado)安装

1.1Vitis概述 Vitis 统一软件平台可实现在 Xilinx 异构平台(包括 FPGA、SoC 和 Versal ACAP)上开发嵌入式软件和加速应用。它可为边缘、云和混合计算应用加速提供统一编程模型。 利用与高层次框架的集成,通过加速库采用 C、C++ 或 Python 进行开发,或者使用 ......
开发工具 工具 XILINX vivado Vitis

37 基于FPGA的LVDS信号环路测试

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 LVDS(Low Voltage Differ ......
环路 信号 FPGA LVDS 37

31 基于FPGA简易示波器显示驱动设计

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 FPGA在数据采集,数据处理,图像视频领域都有 ......
示波器 简易 FPGA 31

29 基于FPGA实现触摸屏实验

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 常规情况下我们会使用CPU通过I2C接口实现触 ......
触摸屏 FPGA 29

国产化服务器编译安装nload

tar -xvf nload-0.7.4.tar.gz tar -xvf ncurses-6.3.tar.gz cd ncurses-6.3/ ./configure make -j 100 make install cd ../nload-0.7.4/ ./configure --build=ar ......
国产 服务器 nload

07 FPGA按钮去抖实验

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MILIANKE)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 按键的消抖,是指按键在闭合或松开的瞬间伴随着一 ......
按钮 FPGA 07

08 FPGA多路分频器实验

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MILIANKE)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 在FPGA中,时钟分频是经常用到的。本节课讲解 ......
分频器 FPGA 08

05 FPGA流水灯实验

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 本章课程以大家熟悉的流水灯为例子,详细讲解了V ......
流水 FPGA 05

FPGA处理编码信号进行毛刺滤波

目录一、前言二、滤波算法三、代码设计 一、前言 在利用处理编码信号时,一般在较为理想的环境下可以很方便进行计算,判断等。但是由于有时候受到电磁干扰等环境因素,会导致编码信号产生毛刺等,这时候如果不对编码信号进行预处理而是直接进行边缘判断等操作则极容易导致错误,所以需要提前对编码信号进行滤波。 二、滤 ......
毛刺 信号 编码 FPGA

《FPGA原理和结构》——读书笔记

最近做了一个关于FPGA的项目后,读了《FPGA原理和结构》这本书。主要梗概内容和想法如下。 第一章:理解FPGA所需要的基础知识 理解FPGA我们需要数电的组合逻辑、时序逻辑等内容的知识。FPGA(20世纪70年度发展起来的,因为其具有通过组合使用器件内大量的逻辑块来实现所需的电路,比以往侠义的P ......
原理 结构 笔记 FPGA

FPGA驱动AD9240实现AD转换

目录一、前言二、时序原理三、代码设计四、结果验证 一、前言 在做项目中,经常会用到AD转换模块。前段时间做毕业设计的时候需要用到FPGA驱动AD9240模块实现模拟数据的采集和转换,尽管相对来说AD9240算比较简单的驱动模块,但是也想记录下分析和设计过程。 二、时序原理 首先通过芯片手册可以看到A ......
FPGA 9240 AD

DAC转化——FPGA驱动LTC1446

目录一、前言二、结合LTC1446芯片手册分析三、Verilog代码与仿真四、总结 一、前言 最近在学习利用FPGA结合DAC芯片实现数模转换,在实验中选择的LTC1446这款芯片。接下来自己将结合芯片手册进行分析,并编写Verilog代码并进行仿真验证。 二、结合LTC1446芯片手册分析 首先从 ......
1446 FPGA DAC LTC

《生物信息学算法导论》是2007年化学工业出版社出版的图书,作者是(美)N.C.琼斯 ,(美)P.A.帕夫纳。

目前,可供本科学生使用的生物信息学著作为数不多,本书恰恰是其中的一本。国内生物信息学,计算生物学、计算数学等领域的本科生、研究生和其他研究人员,会从书中汲取基本的算法原理、解决实际问题的方法和技巧,进而更好地从事相关研究工作。 目录 播报 编辑 1 绪论 2 算法与复杂性 2.1 算法是什么? 2. ......
导论 算法 出版社 化学 生物
共990篇  :2/33页 首页上一页2下一页尾页