仿真器 集成电路 电路 原理

基于扰动观测器的直流电机调速系统, 有计算公式,仿真模型,仿真结果,ad电路图,程序

基于扰动观测器的直流电机调速系统, 有计算公式,仿真模型,仿真结果,ad电路图,程序YID:69149648154053996 ......

V15用1500仿真运动控制画圆

V15用1500仿真运动控制画圆ID:349648757845031 ......
1500 V15 15

基于凸极永磁同步电机—高频注入(方波)。 转子锁相环PLL,包括两种仿真

基于凸极永磁同步电机—高频注入(方波)。转子锁相环PLL,包括两种仿真,含有相对应学习文献,可作为基础学习。 ID:8850647862816842 ......
同步电机 转子 电机 PLL

Statcom:基于MATLAB/Simulink的静止无功补偿器仿真模型,负载端加入断路器模拟断路故障。

Statcom:基于MATLAB/Simulink的静止无功补偿器仿真模型,负载端加入断路器模拟断路故障。仿真条件:MATLAB/Simulink R2015bID:4750647354331368 ......
断路器 Simulink 模型 故障 Statcom

DCMG_PV_Battery_VSC:基于Matlab/Simulink的含光储单元的直流微电网仿真模型,通过并网变换器VSC与交流电

DCMG_PV_Battery_VSC:基于Matlab/Simulink的含光储单元的直流微电网仿真模型,通过并网变换器VSC与交流电网连接。仿真条件:MATLAB/Simulink R2015bID:3380648412877044 ......

vue-day15--key的作用与原理

面试题 react vue 中 的key 有什么作用(key 的内部原理) 1.虚拟DOM中key的作用 key是虚拟对象的标识,当数据发生变化时,Vue会根据新数据生成新的虚拟DOM,随后Vue进行新虚拟DOM与旧虚拟DOM的差异比较。 2.对比规则 ①旧虚拟DOM找到了与新虚拟DOM相同的key ......
原理 作用 vue-day vue day

雷达信号处理中的相参积累及相应的MATLAB仿真

这篇文章由来是前段时间工作中,我们自己的雷达样板由于测角精度不太高,会导致后面的跟踪轨迹偏离范围比较大,领导提出了用相干积累来提高信噪比的方法来提高测角精度,同时也能提高速度和距离的相关指标。当时看到一篇文章里说多普勒维的FFT就是相参积累,但不是太理解,于是查阅了相关资料,来解决这个疑问。里面是各 ......
信号处理 信号 MATLAB

JAVA集成velocity实现对已有模板替换(占位符变量)替换

平时我们如果有一些简单的模板替换需求,比如有个txt文件,或者代码生成模板文件 要根据传入的变量替换成具体的值 就可以使用这个框架 依赖 <dependency> <groupId>org.apache.velocity</groupId> <artifactId>velocity-engine-c ......
变量 velocity 模板 JAVA

仿真模拟切削简易实现方法

思路 通过矩形扫掠生成长方体的方法 进行与需要切削的实体进行布尔减法运算 并在运算后重新复原实体 调整扫掠长度再次布尔 达到切削动画模拟的效果 在一段切削轨迹完成后,保存实体状态,并将在重置时,重置为新保存的状态 1.铣削 为了模拟圆柱切削,通过绘制正多边形来模拟圆面,用多个矩形平面拼接合成为一个类 ......
简易 方法

攀拓(PAT)- 程序设计(乙级)2023年夏季考试仿真卷题解

#B-1 唯手熟尔 思路:getchar一个读入到string中进行判断 代码: ``` #include using i64 = long long; #pragma GCC optimize(2) #define IOS std::ios::sync_with_stdio(false), std ......
题解 程序设计 程序 2023 PAT

VLAN原理与配置

1.什么是VLAN vlan叫做虚拟局域网 (VLAN, Virtual LAN) 虚拟局域网(VLAN)是一组逻辑上的设备和用户,这些设备和用户并不受物理位置的限制,可以根据功能、部门及应用等因素将它们组织起来,相互之间的通信就好像它们在同一网段。逻辑上将网络划分 作用:划分广播域,控制广播消息传 ......
原理 VLAN

一站式运维管家 ChengYing 主机接入原理解析

之前的文章中,我们已经为大家介绍了 [ChengYing](https://github.com/DTStack/chengying) 的安装原理、产品包制作、产品线部署等内容,本篇将和大家介绍一个困扰许多开发者的内容——ChengYing 主机接入。帮助所有对 ChengYing 感兴趣的开发者更 ......
管家 ChengYing 原理 主机

数据采集协同架构,集成马扎克、西门子、海德汉、广数、凯恩帝、三菱、海德汉、兄弟、哈斯、宝元、新代、发那科、华中各类数控以及各类PLC数据采集软件

文章目录 前言 一、采集协同架构是什么?可以做什么(数控、PLC配置采集)? 二、使用步骤 1.打开软件,配置MQTT或者数据库(支持sqlserver、mysql等)存储转发消息规则 2.配置数控系统所采集的参数、转发名称以及采集频率 3.配置完成后,配置需要采集的设备清单 4.设备配置完成后,系 ......
数据采集 数据 数控 架构 兄弟

FPGA图像增强,基于FPGA的图像去雾处理,算法为暗通道先验,并在matlab上实现了算法的仿真,使用的软件为qu

FPGA图像增强,基于FPGA的图像去雾处理,算法为暗通道先验,并在matlab上实现了算法的仿真,使用的软件为quartus13.0。注意在FPGA上实现时,在浓雾区域和天空区域的处理效果不算太好。ID:48300647242454158 ......
算法 图像 先验 FPGA 通道

基于FPGA的电梯控制系统,采用VHDL语言进行设计,包括相应的功能仿真,可以提供设计说明文档。

基于FPGA的电梯控制系统,采用VHDL语言进行设计,包括相应的功能仿真,可以提供设计说明文档。ID:9150646815525029 ......
控制系统 电梯 语言 功能 文档

基于FPGA的信号发生器,使用VHDL或Verilog语言进行开发,可以提供相关的仿真和设计说资料。

基于FPGA的信号发生器,使用VHDL或Verilog语言进行开发,可以提供相关的仿真和设计说资料。ID:3150646782307233 ......

双有源桥(DAB)psim/simulink闭环控制仿真,SPS/DPS/TPS控制均可,图中显示了sps控制的负载阶跃响应全新

双有源桥(DAB)psim/simulink闭环控制仿真,SPS/DPS/TPS控制均可,图中显示了sps控制的负载阶跃响应全新ID:5166646464696473 ......
闭环 simulink 全新 psim DAB

LCL_Three_Phase_inverter:基于MATLAB/Simulink的LCL三相并网逆变器仿真模型,交流电流内环才用PR(比例谐

LCL_Three_Phase_inverter:基于MATLAB/Simulink的LCL三相并网逆变器仿真模型,交流电流内环才用PR(比例谐振)控制,PWM波采用SVPWM控制,附带对应的参考文献。仿真条件:MATLAB/Simulink R2015b,购买前如需转成低版本格式请提前告知,谢谢。 ......

HB_LLC:基于MATLAB/Simulink的半桥LLC谐振变换器仿真模型。 仿真

HB_LLC:基于MATLAB/Simulink的半桥LLC谐振变换器仿真模型。仿真参数:340~400VDC Input,24V@200W Output.仿真条件:MATLAB/Simulink R2015b,购买前如需转成低版本格式请提前告知,谢谢。 ID:7720646250168071 ......
谐振 LLC Simulink 模型 HB_LLC

二部六层电梯程序西门子1200二部六层电梯程序,无需eet可直接仿真使用,含有联控功能,有简单方案说明。

二部六层电梯程序西门子1200二部六层电梯程序,无需eet可直接仿真使用,含有联控功能,有简单方案说明。另有三部六层电梯程序YID:1348645720626558 ......
电梯 程序 功能 方案 1200

有源电力滤波器matlab仿真, 并联型apf仿真fft分析 谐波电流检测ipiq法

有源电力滤波器matlab仿真,并联型apf仿真fft分析谐波电流检测ipiq法跟踪电流控制(传统滞环控制空间电压矢量滞环控制)总谐波畸变率降至3%以下 ID:6950645690898023 ......
谐波 滤波器 电流 电力 matlab

电力电子、电机驱动、数字滤波器matlab/simulink仿真模型实现及相关算法的C代码实现。

电力电子、电机驱动、数字滤波器matlab/simulink仿真模型实现及相关算法的C代码实现。配置C2000 DSP ADC DAC PWM定时器 中断等模块,提供simulink与DSP的联合仿真以及硬件在环(PIL)和快速原型机设计(RCP)支持!ID:77380645573935082 ......
滤波器 算法 电机 simulink 模型

综合能源系统仿真,冷热电三联供仿真,simulink仿真

综合能源系统仿真,冷热电三联供仿真,simulink仿真ID:7929645248875426 ......
冷热 simulink 能源 系统

双向全桥LLC/CLLC拓扑双闭环控制,详细的设计步骤,原理,参数计算选型,本人在读研究生,双闭环 (默认发MA

双向全桥LLC/CLLC拓扑双闭环控制,详细的设计步骤,原理,参数计算选型,本人在读研究生,双闭环 (默认发MATLAB/simulink仿真文件)YID:8130645162532159 ......
闭环 拓扑 双向 步骤 原理

LADRC线性自抗扰,三阶ESO状态扩张观测器,boost升压电路,双闭环控制,双LADRC控制,电压外环采用LADRC线

LADRC线性自抗扰,三阶ESO状态扩张观测器,boost升压电路,双闭环控制,双LADRC控制,电压外环采用LADRC线性自抗扰控制(ESO扩张状态观测器采用三阶,自己搭建),电流内环同样采用LADRC线性自抗扰控制(ESO扩张状态观测器采用三阶,自己搭建),观察电路电源/负载跳变时,系统动态特性 ......
LADRC 观测器 闭环 线性 电压

单部六层(1200系列、1500系列都有可仿真 ),六部十层1200系列。

单部六层(1200系列、1500系列都有可仿真 ),六部十层1200系列。有较大参考性。 YID:6315645040008490 ......
1200 1500

锂电池主动均衡simulink仿真 四节电池 基于buckboost(升降压)拓扑 (还有传统电感均衡+开关电容均衡+双向

锂电池主动均衡simulink仿真 四节电池 基于buckboost(升降压)拓扑 (还有传统电感均衡+开关电容均衡+双向反激均衡+双层准谐振均衡+环形均衡器+cuk+耦合电感)被动均衡电阻式均衡 、分层架构式均衡以及分层式电路均衡,多层次电路,充放电。 YID:28100645079329722 ......
拓扑 电感 锂电池 电容 双向

纯跟踪控制 路径跟踪算法 carsim simulink联合仿真

纯跟踪控制 路径跟踪算法 carsim simulink联合仿真ID:6615644793475964 ......
算法 路径 simulink carsim

Matlab光纤光栅仿真程序FBG 双FBG

Matlab光纤光栅仿真程序FBG 双FBGYID:9325644519725142 ......
光栅 光纤 FBG 程序 Matlab

基于线性死区补偿的PMSM矢量控制算法仿真,Foc电流双闭环 1.模块

基于线性死区补偿的PMSM矢量控制算法仿真,Foc电流双闭环 1.模块划分清晰,易于学习;2.死区补偿算法的线性区区域可调;3.自己总结的死区补偿算法笔记及精华资料;4.完全自己手动搭建 ID:8345644689994372 ......
死区 闭环 矢量 线性 电流