仿真器 集成电路 电路 原理

TMS320F28335光伏并网逆变器生产资料(另有离网状态 ),包含(原理图/PCB/

TMS320F28335光伏并网逆变器生产资料(另有离网状态),包含(原理图/PCB/源代码,说明文挡),无实物。 YID:3128609798582139 ......
逆变器 生产资料 另有 原理 状态

大功率平衡车,扭扭车 图纸 源码 平衡车原理图 pcb 矢量源码非库函数, Bom清单 物料表等资料。

大功率平衡车,扭扭车 图纸 源码 平衡车原理图 pcb 矢量源码非库函数, Bom清单 物料表等资料。500W功率 STM32主控 陀螺仪可用于学习电机开发,平衡车独轮车项目开发。 ID:452500609590448918 ......
源码 大功 大功率 矢量 物料

基于stm32的字符识别系统,包含源码、原理图、PCBDoc、原件清单、实物等。

基于stm32的字符识别系统,包含源码、原理图、PCBDoc、原件清单、实物等。该程序可极速识别手机或电脑显示屏的字符(中文英文符号等),达到条件自动驱动外设工作。处理速度非常快,视频演示的程序是增加了延时的,方便观看识别效果。“此链接价格仅为PCB空板价格”,提供有偿技术咨询,如果有意可适当性修改 ......
原件 实物 清单 源码 字符

跨平台.NET IDE集成开发-Rider 2023 mac/win版

Rider 2023是一款跨平台的.NET集成开发环境(IDE),由JetBrains开发。它专为开发.NET应用程序而设计,提供了丰富的功能和工具,以提高开发人员的生产力。 →→↓↓载Rider 2023 mac/win版 Rider支持多种编程语言,包括C#、VB.NET、F#、ASP.NET、 ......
集成开发 Rider 2023 NET IDE

ASIC/FPGA异步FIFO小IP。 可用于ASIC设计和FPGA设计。 集成简单方

ASIC/FPGA异步FIFO小IP。可用于ASIC设计和FPGA设计。集成简单方便,配置灵活。其相关Feature如下所示: 1) 纯异步设计。读写时钟异步。 2) FIFO空满标志 3)支持FLUSH操作。4)FIFO深度及位宽可扩展。其中深度支持2的整数幂;位宽支持任意可配。5) 支持读写时钟 ......
ASIC FPGA FIFO

AD7124 4通道和8通道SPI通讯代码,已调试验证无误,异常值踢出和平均算法过滤有原理图

AD7124 4通道和8通道SPI通讯代码,已调试验证无误,异常值踢出和平均算法过滤有原理图ID:85300607666322130 ......
通道 算法 原理 通讯 代码

艾默生充电桩15kw模块,软件源码加原理图BOM 艾默生充电桩15kw模块原版软件源码含核心算法,PFC+DCDC双dsp

艾默生充电桩15kw模块,软件源码加原理图BOM 艾默生充电桩15kw模块原版软件源码含核心算法,PFC+DCDC双dsp数字控制,原理图,BOM和PCB(但为PDF版),通信协议文档,带上位机调试工具,所有资料完全配套,确保资料真实,电源网讨论的这个,目前市场上主要在卖的是晶福源,这个没有PCB源 ......
源码 模块 软件 原版 算法

旋转高频电压注入PMSM无感控制MATLAB仿真模型,Mat

旋转高频电压注入PMSM无感控制MATLAB仿真模型,MatID:2238606091675051 ......
电压 模型 MATLAB PMSM Mat

Qt编写安防楼宇对讲管理平台源码 1. 同时集成了楼宇对讲、住户报

Qt编写安防楼宇对讲管理平台源码 1. 同时集成了楼宇对讲、住户报警、门禁控制、公共报警、视频监控等模块。2. 系统管理部分包括系统配置、对讲配置、住户配置、公共配置、监控配置、地图管理、视频联动、用户管理、区域管理。3. 图形化的实时对讲、室内报警、门禁设备界面,非常大气美观。4. 设备状态内置地 ......
楼宇对讲 楼宇 住户 源码 同时

三相永磁同步电机(PMSM) SVPWM矢量控制 MATLA仿真算法: (

三相永磁同步电机(PMSM) SVPWM矢量控制 MATLA仿真算法:(1)采用SVPWM矢量控制;(2)采用转速、电流双闭环控制;(3)转速环采用PI控制;(4)电流环采用PI控制;(5)跟踪性能良好,当转矩发生变化时能够快速稳定转速;(6)各个模块功能分类明确,容易理解。 ID:69155999 ......
同步电机 矢量 算法 电机 MATLA

基于matlab图像处理 用gui集成多种方法处理图像 增加暗度,边缘锐化,

基于matlab图像处理 用gui集成多种方法处理图像增加暗度,边缘锐化,真彩色增强,伪彩色增强,直方图均衡化,理想低通滤波等等ID:8934598469174023 ......
图像 图像处理 边缘 多种 方法

fpga can控制器Verilog,节省你的电路板面积 ...altera、xilinx工

fpga can控制器Verilog,节省你的电路板面积...altera、xilinx工程 均提供...标准帧、扩展帧 均提供...提供仿真激励文件testbench资料包清单:1.程序:altera/xilinx工程代码、Verilog /testbench均提供。代码均在电路板验证,本店有对应 ......
电路板 控制器 电路 面积 Verilog

模块化多电平(mmc)仿真4电平mmc仿真模型

模块化多电平(mmc)仿真4电平mmc仿真模型YID:1820593654681117 ......
电平 mmc 模块 模型

对已有YOLO加速模块进行Layer0仿真(Layer0仿真平台搭建)

# Layer0仿真平台搭建 ##### 代码概述 ![image](https://img2023.cnblogs.com/blog/3217775/202306/3217775-20230620203615235-2016455014.png) - 代码功能 - 实现一个卷积神经网络的第一层(l ......
Layer0 Layer 模块 平台 YOLO

恒压供水仿真/1200plc/博途v15可直接仿真运行,包含离散被控对象,手动干扰,随机干扰,pid参数可调。

恒压供水仿真/1200plc/博途v15可直接仿真运行,包含离散被控对象,手动干扰,随机干扰,pid参数可调。ID:6966596086480080 ......
手动 对象 参数 1200 plc

直接电流双闭环控制方式的pwm整流器仿真,带建模计算技术文档simulink仿真,电流内环采用滞环控制电压外环

直接电流双闭环控制方式的pwm整流器仿真,带建模计算技术文档simulink仿真,电流内环采用滞环控制电压外环为pi控制授人之鱼,不如授人之渔带pwm整流的传递函数推导,PID参数,硬件参数计算文档。所带资料还包含一个传递函数的仿真。 ID:5349595753777152 ......
电流 闭环 内环 整流器 技术文档

IEEE39节点 新英格兰39节点系统仿真 Matlab/simulink

IEEE39节点 新英格兰39节点系统仿真Matlab/simulink质量过硬,非诚勿扰!该模型为自己搭建,电压潮流稳定,与标准参数一致,可观测电压,潮流;可用于电力系统暂态仿真研究。 ID:34599595406290973 ......
节点 simulink Matlab 系统 IEEE

DC-DC LLC转换器 matlab simulink仿真显示了一个DC-DC LLC功率转换器与频率控制。

DC-DC LLC转换器 matlab simulink仿真显示了一个DC-DC LLC功率转换器与频率控制。在Simulink控制器块中实现了一个简单的积分控制,其设计目的是实现由变量vout_标称值定义的标称输出电压。输出范围显示频率控制信号、输出电压和输出电压的参考值。在启动期间,将参考值提升 ......
转换器 DC-DC DC 功率 LLC

HDFS存储原理

冗余数据保存问题: 一个数据块默认被保存三次 好处:1.加快数据传输错误(假如要同时访问数据块1 因为他冗余存储就会有3份 所以会加快数据传输速度) 2.很容易检查数据错误 3.保证数据可靠性 数据的错误与恢复 ......
原理 HDFS

Nacos注册中心原理以及Eureka和Nacos的区别与联系

nacos注册中心: 服务提供者在注册中心注册服务信息 服务消费者从注册中心定时拉取服务。【服务列表缓存,每隔30拉取更新列表】 消费者远程调用服务提供者。 服务注册到Nacos时,可以选择注册为临时或非临时实例,默认是临时实例采用心跳检测,每隔30s向注册中心发送心跳检测,当nacos发现30未受 ......
Nacos 原理 Eureka

深度解密 base64 字符串的编解码原理

### 什么是 base64 **我们知道一个字节可以表示的范围是 0 ~ 255,并且在 ASCII 码表中会对应一个字符,比如:字符 97 对应字符 'a'、90 对应字符 'Z' 等等。而在 ASCII 码表中有很多字符都是不可见字符,那么当数据在网络上传输时,由于不同设备对字符的处理会有一些 ......
字符串 字符 深度 原理 base

电机的基本原理

# 电磁感应原理 ## 一、磁场 ### 1. 磁场的强度和方向 由载流导体产生的磁场大小可以用磁场强度$H$表示,磁场的方向与电流的方向满足右手螺旋定则。 $$ H = \frac{i}{l} $$ >这里没考虑匝数 ### 2. 磁通密度 把穿过某一截面$S$的磁力线根数成为磁通量$\phi$。 ......
电机 原理

经纬恒润OTA仿真测试解决方案为汽车智能化发展保驾护航

经纬恒润基于自主研发的INTEWORK系列产品,提出了车辆OTA仿真测试解决方案,该方案同样适用于远程诊断系统测试,且已被国内多家主流OEM厂商采纳,支持了多款在研量产车型OTA/远程诊断技术的研发落地。 ......
经纬 解决方案 智能 方案 汽车

ASEMI代理光宝光耦LTV-50L的工作原理及应用领域

编辑-Z 本文将对光耦LTV-50L进行详细的介绍,包括其工作原理、性能特点、应用领域以及注意事项。通过阅读本文,您将对光耦LTV-50L有更深入的了解,以便在实际应用中更好地发挥其作用。 1、光耦LTV-50L的工作原理 光耦LTV-50L是一种光电器件,其工作原理是利用光信号在输入端和输出端之间 ......
应用领域 原理 领域 ASEMI LTV

嘉立创电路板制作过程全流程详解

第1道工序:MI MI的全称是Manufacturing Instruction,百度翻译为“制造说明书”。 我们把PCB文件或者Gerber文件下单给嘉立创以后,嘉立创的MI人员会针对我们的PCB文件出一个制造说明书,以供后续工艺使用。不过... 这份MI文件,并不是针对我们一个客户的一张电路板做 ......
制作过程 电路板 电路 流程 过程

三电平svpwm模型针对三电平逆变器的研究,所搭建的matlab仿真模型。

三电平svpwm模型针对三电平逆变器的研究,所搭建的matlab仿真模型。三电平SVPWM模型是一种用于研究三电平逆变器的仿真模型,在Matlab中进行搭建。这种模型主要用于模拟和分析三电平逆变器的工作原理和性能。三电平逆变器是一种常见的电力电子设备,用于将直流电转换为交流电。它具有三个电平的输出电 ......
三电 模型 逆变器 matlab svpwm

stm32 电路图 量产 变频器 完整的资料STM32F103VET6成熟量产1W+的变频器,原理图,源代码,反击式辅助电

stm32 电路图 量产 变频器 完整的资料STM32F103VET6成熟量产1W+的变频器,原理图,源代码,反击式辅助电源,三相逆变,RTOS实时操作系统成熟量产变熟量产变频器设计方案 STM32源代码原理图 出售此stm32变频器资料,这个是1.5千瓦的变频器,包含原理图,pcb图,源码使用感受 ......
变频器 电路图 源代码 电路 原理

FPGA开发板全套图纸 Altium原理图 PCB Zedb 多层板绘制参考设计,学习真实产品十层板PCB设计,有四个电源层

FPGA开发板全套图纸 Altium原理图 PCB Zedb 多层板绘制参考设计,学习真实产品十层板PCB设计,有四个电源层,学习电源层分割,有六个信号层,学习BGA,器件布局,信号如何走线的。Altium designer 10层高速数字电路开发板,学习资料。高速数字电路布线。极好的学习案例,市场 ......
层板 多层板 图纸 多层 全套

ARM加FPGA架构运动控制卡 运动控制器 架构源码 原理图, 资料包含此运

ARM加FPGA架构运动控制卡 运动控制器 架构源码 原理图,资料包含此运动控制卡原理图,PCB图,FPGA源码,ARM去掉算法后的框架源码。注意实物图是旧版的,出的图纸是新版本的,元器件布局合理些,跟实物图稍有不同。本运动控制卡采用ARM单片机+FPGA架构;ARM单片机是基于Cortex-M3内 ......
架构 控制卡 控制器 源码 原理

MVCC 实现原理?

MVCC 实现原理? MVCC(Multiversion concurrency control) 就是同一份数据保留多版本的一种方式,进而实现并发控制。在查询的时候,通过read view和版本链找到对应版本的数据。 作用:提升并发性能。对于高并发场景,MVCC比行级锁开销更小。 MVCC 实现原 ......
原理 MVCC