软件 软件测试 费用 方案

[软件测试] 02 白盒 逻辑覆盖测试 习题

提示: 篇幅较长,可以使用Ctrl+F,在页面中快速查询关键词(或者你要找的题目)并跳转到指定的位置。 关键词:白盒测试,逻辑覆盖测试 单选题 以下不属于逻辑覆盖测试的是(C) A. 语句覆盖 B. 判定覆盖 C. 基本路径覆盖 D. 条件覆盖 根据不同的测试要求,逻辑覆盖测试可以分为语句覆盖、判定 ......
软件测试 习题 逻辑 软件 02

08fdma数据通路加入sobel算法IP方案

软件版本:vitis2021.1(vivado2021.1) 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 8.1概述 本文实验目的: 1:掌握2个uifdma_db ......
算法 方案 数据 sobel fdma

07基于fdma ddr多路视频数据构架方案

软件版本:vitis2021.1(vivado2021.1) 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 7.1概述 基于AXI总线可以使用axi_intercon ......
构架 方案 数据 视频 fdma

06 uifdma_dbuf+fdma实现数据流方案

软件版本:vitis2021.1(vivado2021.1) 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 6.1概述 uifdma_dbuf3.0开始支持了full ......
数据流 uifdma_dbuf 方案 数据 uifdma

[软件测试] 01 软件测试基础概念 习题

提示: 篇幅较长,可以使用Ctrl+F,在页面中快速查询关键词(或者你要找的题目)并跳转到指定的位置。 关键词:软件测试基础概念 判断题 由于功能性是软件最基本的质量特性,因此在用户未提出明确的非功能需求时,只需在进行完善的功能测试后,就可以向客户提出验收测试请求。 答案:错误 测试用例越多,测试效 ......
软件测试 软件 习题 概念 基础

03使用fdma读写axi-bram测试

软件版本:vitis2021.1(vivado2021.1) 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 3.1概述 FDMA是米联客基于AXI4总线协议定制的一个 ......
axi-bram fdma bram axi

《软件需求十步走》阅读笔记三

开发因需求而来,需求开发以需求规划的成果为主要依据。软件需求开发首先要做的是获取需求,得到目标、系统关联情况以及用例的分析;其次是需求分析,软件系统的可行性、用户接口、系统功能、数据、优先级等这些都在需求分析之列;然后汇总成需求分析规格说明书;最后在进行需求测评,制定具体的开发方案。 需求获取是确定 ......
需求 笔记 软件

02.软件开发流程

目录 软件 软件生命周期 软件开发模型 软件 软件是与计算机系统操作有关的计算机程序、可能有的文档及数据。 软件生命周期 定义问题 软件开发 软件维护 @startuml scale 10 |定义问题| start :搜集需求; :可行性研究; :需求分析; |软件开发| :概要设计; :详细设计; ......
软件开发 流程 软件 02

软件设计19

[实验任务一]:虚拟聊天室 在“虚拟聊天室”实例中增加一个新的具体聊天室类和一个新的具体会员类,要求如下: 1. 新的具体聊天室中发送的图片大小不得超过20M。 2. 新的具体聊天室中发送的文字长度不得超过100个字符。 3. 新的具体会员类可以发送图片信息和文本信息。 4. 新的具体会员类在发送文 ......
软件

3-1-05 Modesim软件安装

1.1 Modelsim软件版本选择 不同的Vivado版本支持使用的Modesim版本不同,具体可查看Xilinx提供的文档UG973-vivado-release-notes-install-license。 我们使用的Vivado 软件版本是 vivado2017.4,推荐使用版本是 Mode ......
Modesim 软件 05

1-1-01vscode开发软件安装

使用第三方编辑工具可以让开发代码变的更加高效,vscode是非常好用的第三方编辑器,下面我们演示如何安装vscode 登录米联客官方社区https://www.uisrc.com 进入下载页面,下载vscode 双击Visual Studio Code 64位安装程序 为了可以识别verilog/v ......
开发软件 vscode 软件 01

数学软件 研究发展 白皮书

大前天 在 数学吧 看到 《一个简单的非隠非三角非超越函数 却让双精度浮点无力招架》 https://tieba.baidu.com/p/8818621218 , 昨天又看了一下, 这个帖子值得关注和研究 。 我叫 @dons222 研究 数学软件, 他退缩了, 他要去搞他的工程项目, 创造眼前的效 ......
白皮 白皮书 数学 软件

ibus 输入法导致输入卡顿的解决方案

系统: Zorin OS 16 Pro 基于 Ubuntu 20.04 LTS 关键词:Linux 间歇性卡顿、输入法导致卡顿、无法输入 本问题发生的情形是系统间歇性的无法接受键盘输入,无意间发现切换输入法等待几秒后能够成功的解决。对于这个问题,有时候很头疼,非常耽误开发进度,有时候在做客户的项目时 ......
输入法 解决方案 方案 ibus

pr拖动视频到轨道,没有画面只有音频的解决方案

将所有视频轨、音频轨(比如V1 、V2、 V3、 A1 、A2 、A3)前面的选定状态点一下,都成未选择状态,再从素材箱拽到轨道上。 ......
轨道 画面 音频 解决方案 只有

性能测试-JMeter分布式测试及其详细步骤

性能测试概要 性能测试是软件测试中的一种,它可以衡量系统的稳定性、扩展性、可靠性、速度和资源使用。它可以发现性能瓶颈,确保能满足业务需求。很多系统都需要做性能测试,如Web应用、数据库和操作系统等。 性能测试种类非常多,有些概念也很相近: Load Testing Baseline Testing ......
分布式 步骤 性能 JMeter

大厂性能测试监控指标及分析调优指南

一、哪些因素会成为系统的瓶颈 CPU:如果存在大量的计算,他们会长时间不间断的占用CPU资源,导致其他资源无法争夺到CPU而响应缓慢,从而带来系统性能问题,例如频繁的FullGC,以及多线程造成的上下文频繁的切换,都会导致CPU繁忙,一般情况下CPU使用率<75%比较合适。 内存:Java内存一般是 ......
性能 指标 指南

2023年度评奖:手机系统软件篇

即将和2023年说再见,这一年,久经寒冬的手机市场终于有了回暖趋势,与此同时,各大手机厂商也在手机系统领域有了巨大突破。 这一年,我们告别了小米MIUI,迎来了小米全新操作——澎湃OS,对小米来说这是一个历史性时刻,从发布之日起,小米澎湃OS逐步接替MIUI。 这一年,AI大模型成为“科技顶流”,国 ......
年度 系统 手机 软件 2023

UTools软件推荐

uTools(最强大的工具箱) 官网:uTools官网 - 新一代效率工具平台 推荐指数:※※※※※ 使用感受:个人最推荐的一款软件,主要使用功能是做软件快速启动器。这是一款插件化的集成软件,能根据自己的需要打造【私人专属工具库】,主要特点是轻量、安全、简洁,能够不中断、无干扰的让你随心控制自己的电 ......
UTools 软件

39 HDMI视频输入测试

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MILIANKE)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 米联客开发板上集成的HDMI输入芯片方案采用A ......
视频 HDMI 39

37 基于FPGA的LVDS信号环路测试

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 LVDS(Low Voltage Differ ......
环路 信号 FPGA LVDS 37

35 VIVADO用户IP软件总线接口封装

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 使用VIVADO基于图形化创新编程FPGA的方 ......
总线 接口 用户 VIVADO 软件

33 ADC模块FEP-DAQ9248采集显示波形方案

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 本方案通过把DAQ9248采集到的数据,通过前 ......
波形 模块 FEP-DAQ 方案 9248

32 ADC模块FEP-DAQ7606采集显示波形方案

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 本方案通过把DAQ7606采集到的数据,通过前 ......
波形 模块 FEP-DAQ 方案 7606

28 液晶屏7寸LCD显示测试

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 前文中,我们已经实现了HDMI输出实验,米联客 ......
液晶屏 液晶 LCD 28

25 RGB转HDMI显示方案

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 本实验通过FPGA内部资源实现HDMI协议,使 ......
方案 HDMI RGB 25

24 TPG图像测试数据发生器设计

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 TPG(video_test_pattern ......
发生器 图像 数据 TPG 24

自动化测试学习

1.分层处理 POM POM的核心思想:把重复的操作独立出去 2.报表 生成allure报表的固定写法 3.pytest测试 4.其他 4.1元组解包使用* 4.2使用yaml文件作为数据驱动 安装PYyaml包: pip install PYyaml 导入yaml使用;import yaml 4. ......

表头拉伸和分栏拉伸方案

1. 纯css极简版 resize: horizontal; 缺点: 只能在右下角很小的范围显示拉伸鼠标,且样式范围反斜线 2. 纯css美化版 //隐藏掉反斜线 .container::-webkit-resizer { background: transparent; } 3. 纯CSS复杂版 ......
表头 方案

opengauss-测试shared_buffers对影响DROP TABLE的性能影响

https://mp.weixin.qq.com/s/b2_Wteyv9ujoW2IV2y8QAw 记录一下白老师提到的在PG数据库中 shared_buffers会影响DROP TABLE的性能。 在opengauss中的测试,tps波动不明显: ......

智能分析网关V4+太阳能供电模式,搭建鱼塘养殖远程视频监控方案

现场部署4G监控摄像机,通过配置流量卡,即可解决视频网络传输问题。通过4G网络可将监控摄像头采集的鱼塘监控音视频数据传输到安防监控系统EasyCVR平台,在平台实现流畅观看鱼塘监控视频,实时了解现场情况。平台支持设备通过4G、5G、WIFI、有线等方式进行视频流的接入和传输,十分便捷。 ......
鱼塘 视频监控 网关 太阳能 太阳
共16000篇  :17/534页 首页上一页17下一页尾页