fpga 9240 ad

Fpga开发笔记(一):高云FPGA芯片介绍,入手开发板套件、核心板和底板介绍

前言 FPGA作为一种逻辑芯片,硬件架构独特,具有并行性、低延时性和灵活性等特性,应用领域广泛。 FPGA市场主要玩家是英特尔、AMD、莱迪思、Microchip、Achronix等;国内厂商包括复旦微电、紫光国微、安路科技、东土科技、高云半导体、京微齐力、京微雅格、智多晶、遨格芯等。 本篇主要介绍 ......
底板 套件 芯片 核心 笔记

AD采集卡设计方案:130-基于PCIe的中速模拟AD采集卡

基于PCIe的中速模拟AD采集卡 一、产品概述 基于PCIe的一款分布式高速数据采集系统,实现多路AD的数据采集,并通过PCIe传输到存储计算服务器,实现信号的分析、存储。 产品固化FPGA逻辑,适配8路125Msps/4路250Msps/2路500Msps/1路 1Gsps采集,实现PCIe的触发 ......
中速 方案 PCIe 130

CS5340国产替代 DP8340 192KHz 双声道输入24 位AD 转换器芯片

产品概述 DP8340 是一款完整的采样、模数音频信号转换、抗混叠滤波的芯片,在串行格式下以每声道最高200kHz 采样率高达24 位宽,并支持大部分的音频数据格式。 DP8340 基于一个带线性模拟低通滤波器的五阶Multi-BitΔΣ调制器,自动检测信号频率和主时钟频率,在2KHz 和200KH ......
转换器 声道 芯片 国产 5340

【Ad-hoc】JSCPC 2022 L. Collecting Diamonds

题目描述 给定一个由 A,B,C 构成的字符串,每次你可以进行操作: 选择下标 \(i\) ,使得 \(s_{[i,i + 2]} = ABC\) 。 如果 \(i\) 是奇数,删掉 A,C ;否则删掉 B。 更新每个字符的下标。 求最多能做多少次操作。 \(1 \leq n \leq 2 \tim ......
Collecting Diamonds Ad-hoc JSCPC 2022

利用企业微信自助重置 AD 域用户密码

利用企业微信自助重置 AD 域用户密码 AD Password Self Service 是开源官网地址:https://www.ltb-project.org/documentation/self-service-password.html 项目地址:https://github.com/capr ......
密码 用户 企业 AD

Windows: AD active directory

gpmc.msc gpms.msc stands for Group Policy Management Console, It is a Microsoft Management Console(MMC) snap-in that provides a single administrative ......
directory Windows active AD

基于FPGA的图像自适应阈值二值化算法实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 2.算法运行软件版本 Vivado2019.2 matlab2022a 3.算法理论概述 图像二值化是数字图像处理中的一种常见技术,可以将灰度图像转换为黑白二值图像,突出图像的轮廓和特征。自适应阈值二值化是一种常用的图像二值化方法,能够根据图像局部区域的灰度分布自适应地确定阈 ......
阈值 算法 图像 文件 MATLAB

基于FPGA的电子琴设计(按键和蜂鸣器)---第一版---郝旭帅电子设计团队

本篇为各位朋友介绍基于FPGA的电子琴设计(按键和蜂鸣器) 第一版。 功能说明: 外部输入七个按键,分别对应音符的“1、2、3、4、5、6、7”,唱作do、re、mi、fa、sol、la、si。当某个按键按下时,蜂鸣器发出对应的声音 1. 默认发出0.2秒(可以调整)。2. 蜂鸣器发出对应的中音。 ......
蜂鸣器 电子 电子琴 第一版 按键

ads1256

ads1256中文资料汇总_功能原理图及说明_ads1256驱动程序源代码 - 全文 https://www.elecfans.com/article/88/129/2017/20171220605795_a.html 咚咚咚————【封装驱动】ADS1256驱动程序,分享交流自己编写的程序。 ht ......
1256 ads

FPGA模块化设计

模块化设计出发点 在实际地操作中,总有一些基础的模块需要不断地寻找,往往需要消耗大量的时间。为了节约模块化设计的时间,提高设计的效率。在这里将一些基础的模块全部进行封装,利用网络的便捷性,实现快速地基础模块的调用。同时在这个过程中,利用FPGA的拓展性,实现代码的最大化利用。同时,也考虑到模块化封装 ......
模块 FPGA

Ansible - Ansible Ad hoc 命令行

Ansible ad-hoc是一种通过命令行批量管理的方式 格式:ansible 主机集合 -m 模块名 -a "参数" 其他参数: -k 使用密码远程、-i 指定主机列表文件 以上是调用ping模块,模块就是脚本(多数为Python脚本),多数脚本都支持参数,默认模块是command comman ......
Ansible 命令 hoc Ad

FPGA学习实践之旅——前言及目录

很早就有在博客中记录技术细节,分享一些自己体会的想法,拖着拖着也就到了现在。毕业至今已经半年有余,随着项目越来越深入,感觉可以慢慢进行总结工作了。趁着2024伊始,就先开个头吧,这篇博客暂时作为汇总篇,记录在这几个月以及之后从FPGA初学者到也算有一定理解程度的学习过程。 前言 回想我的编程之旅其实 ......
前言 之旅 目录 FPGA

基于FPGA的数字钟设计---第三版---郝旭帅电子设计团队

本篇为各位朋友介绍基于FPGA的数字钟设计 第三版。 功能说明: 在数码管上面显示时分秒(共计六个数码管,前两个显示小时;中间两个显示分钟;最后两个显示秒)。 利用按键可以切换24/12小时制(默认24小时制)。 led1的亮灭表示24小时制(亮)还是12小时制(灭)。 led2的亮灭表示上午(亮) ......
数字钟 团队 数字 电子 FPGA

基于FPGA的图像高斯滤波实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 2.算法运行软件版本 matlab2022a vivado2019.2 3.算法理论概述 基于FPGA的图像高斯滤波实现是一种利用FPGA硬件平台对图像进行高斯滤波处理的方法。下面将详细介绍这种方法的原理和数学公式。 一、原理 高斯滤波是一种线性平滑滤波,适用于消除高斯噪声, ......
图像 文件 MATLAB FPGA

基于FPGA的图像拼接算法实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 将FPGA的拼接结果导入到matlab,显示结果如下: 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 3.1 理论概述 基于FPGA的图像拼接算法实现是一种利用FPGA硬件平台对图像进行拼接处理的方法。基于FPGA的图像拼接算法实现 ......
算法 图像 文件 MATLAB FPGA

m基于FPGA的PPM光学脉位调制解调系统verilog实现,包含testbench

1.算法仿真效果 vivado2019.2仿真结果如下: 2.算法涉及理论知识概要 基于FPGA的PPM(脉冲位置调制)光学脉位调制解调系统是一个复杂的电子与光电子相结合的通信系统。 2.1 PPM调制解调原理 脉冲相位调制(PPM)最早由Pierce提出并应用于空间通信,是利用脉冲的相对位置来传递 ......
光学 testbench verilog 系统 FPGA

基于FPGA的图像拉普拉斯变换实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 2.算法运行软件版本 matlab2022a vivado2019.2 3.算法理论概述 拉普拉斯变换是一种二阶微分算子,用于图像增强和边缘检测。它通过计算图像中每个像素点周围像素的灰度差值,突出图像中的高频成分,从而增强边缘和细节。 对于二维图像f(x,y),拉普拉斯变换定 ......
拉普拉斯 图像 文件 MATLAB FPGA

FPGA新的体会:相机传感器驱动

用过了几款相机,对使用相机也有了一点心得,在此记录。 当你得到一款相机,你需要做的: 第一件事:在datasheet中阅读配置单,知道怎么配置、配置完输出来是什么。 配置输出尺寸;传输模式:DDR?SDR?;传输格式:raw8/10/12?rgb? Dvp or Lvds? 第二件事:根据接口决定驱 ......
传感器 相机 FPGA

05 QT上位机读写FPGA内存

软件版本:vitis2021.1(vivado2021.1) 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 5.1概述 如果读者对于前面demo还有一些疑惑,那么本节 ......
内存 FPGA 05

05 FPGA 光通信预留章节

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! ......
光通信 章节 FPGA 05

06 FPGA 光通信预留章节

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! ......
光通信 章节 FPGA 06

07 FPGA 光通信预留章节

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! ......
光通信 章节 FPGA 07

08 FPGA 光通信预留章节

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! ......
光通信 章节 FPGA 08

04 FPGA光通信之8B10B ADC采集传输

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! ......
光通信 8B10B FPGA 10B B10

3-1-04 FPGA使用fdma读写DDR

软件版本:vitis2021.1(vivado2021.1) 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 4.1概述 在前文的实验中我们详细介绍了FDMA的使用方法 ......
FPGA fdma DDR 04

1-1-02 AMD(XILINX) FPGA开发工具Vitis(vivado)安装

1.1Vitis概述 Vitis 统一软件平台可实现在 Xilinx 异构平台(包括 FPGA、SoC 和 Versal ACAP)上开发嵌入式软件和加速应用。它可为边缘、云和混合计算应用加速提供统一编程模型。 利用与高层次框架的集成,通过加速库采用 C、C++ 或 Python 进行开发,或者使用 ......
开发工具 工具 XILINX vivado Vitis

37 基于FPGA的LVDS信号环路测试

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 LVDS(Low Voltage Differ ......
环路 信号 FPGA LVDS 37

31 基于FPGA简易示波器显示驱动设计

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 FPGA在数据采集,数据处理,图像视频领域都有 ......
示波器 简易 FPGA 31

29 基于FPGA实现触摸屏实验

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 常规情况下我们会使用CPU通过I2C接口实现触 ......
触摸屏 FPGA 29

07 FPGA按钮去抖实验

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MILIANKE)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 按键的消抖,是指按键在闭合或松开的瞬间伴随着一 ......
按钮 FPGA 07
共511篇  :1/18页 首页上一页1下一页尾页