代码 代码生成 软件开发 数字

windows下编写lua脚本生成exe

之前我有个想法,想在window下,远程执行服务器Linux下的某个脚本,我第一时间想到的是用 jekenis,但感觉如果能对输入参数更灵活操作,会更好些,然后发现一个比较有意思的工具 srlua ,它可以将lua编写的代码打包输出成 exe 文件。 srlua 目录内容如下: 操作说明: glue ......
下编 脚本 windows lua exe

[转载] JAVA开发搞了一年多的大数据,究竟干了点啥

​ 2021年7月份加入了当前项目组,以一个原汁原味的Java开发工程师的身份进来的,来了没多久,项目组唯一一名大数据开发工程师要离职了,一时间一大堆的数据需求急需人来接手,此刻又招不来新的数据开发。没辙,我和同组的另一位Java开发同事算是临危受命,接下了大数据方面的工作,开启了Java工程师从0 ......
数据 JAVA

[软件测试] 01 软件测试基础概念 习题

提示: 篇幅较长,可以使用Ctrl+F,在页面中快速查询关键词(或者你要找的题目)并跳转到指定的位置。 关键词:软件测试基础概念 判断题 由于功能性是软件最基本的质量特性,因此在用户未提出明确的非功能需求时,只需在进行完善的功能测试后,就可以向客户提出验收测试请求。 答案:错误 测试用例越多,测试效 ......
软件测试 软件 习题 概念 基础

PC端和移动端应用的开发差异

PC端和移动端应用的开发差异主要体现在用户界面(UI)和用户体验(UX)设计、交互设计、性能优化、适配策略等方面。 ......
差异

《软件需求十步走》阅读笔记三

开发因需求而来,需求开发以需求规划的成果为主要依据。软件需求开发首先要做的是获取需求,得到目标、系统关联情况以及用例的分析;其次是需求分析,软件系统的可行性、用户接口、系统功能、数据、优先级等这些都在需求分析之列;然后汇总成需求分析规格说明书;最后在进行需求测评,制定具体的开发方案。 需求获取是确定 ......
需求 笔记 软件

02.软件开发流程

目录 软件 软件生命周期 软件开发模型 软件 软件是与计算机系统操作有关的计算机程序、可能有的文档及数据。 软件生命周期 定义问题 软件开发 软件维护 @startuml scale 10 |定义问题| start :搜集需求; :可行性研究; :需求分析; |软件开发| :概要设计; :详细设计; ......
软件开发 流程 软件 02

软件设计19

[实验任务一]:虚拟聊天室 在“虚拟聊天室”实例中增加一个新的具体聊天室类和一个新的具体会员类,要求如下: 1. 新的具体聊天室中发送的图片大小不得超过20M。 2. 新的具体聊天室中发送的文字长度不得超过100个字符。 3. 新的具体会员类可以发送图片信息和文本信息。 4. 新的具体会员类在发送文 ......
软件

3-1-05 Modesim软件安装

1.1 Modelsim软件版本选择 不同的Vivado版本支持使用的Modesim版本不同,具体可查看Xilinx提供的文档UG973-vivado-release-notes-install-license。 我们使用的Vivado 软件版本是 vivado2017.4,推荐使用版本是 Mode ......
Modesim 软件 05

1-1-02 AMD(XILINX) FPGA开发工具Vitis(vivado)安装

1.1Vitis概述 Vitis 统一软件平台可实现在 Xilinx 异构平台(包括 FPGA、SoC 和 Versal ACAP)上开发嵌入式软件和加速应用。它可为边缘、云和混合计算应用加速提供统一编程模型。 利用与高层次框架的集成,通过加速库采用 C、C++ 或 Python 进行开发,或者使用 ......
开发工具 工具 XILINX vivado Vitis

1-1-03 XILINX JTAG开发工具usb-jtag驱动安装

1.1概述 一般安装vitis(vivado)的过程中勾选了安装jtag cable驱动就会默认安装好jtag驱动,但是如果vivado无法正确识别到JTAG,那么可以试下重新手动安装驱动 1.2准备工作 安装驱动前,必须关闭所有的vivado,vitis-sdk并且拔掉USB JTAG 以免导致安 ......
开发工具 usb-jtag 工具 XILINX JTAG

1-1-01vscode开发软件安装

使用第三方编辑工具可以让开发代码变的更加高效,vscode是非常好用的第三方编辑器,下面我们演示如何安装vscode 登录米联客官方社区https://www.uisrc.com 进入下载页面,下载vscode 双击Visual Studio Code 64位安装程序 为了可以识别verilog/v ......
开发软件 vscode 软件 01

RISC-V-数字设计与集成电路(下)

RISC-V-数字设计与集成电路(下) 审查:添加/子的数据路径 将addi加载项添加到数据路径 I-type直接格式 imm[31:0] •指令的高12位(inst[31:20])复制到立即数的低12位(imm[11:0]) •立即数通过复制inst[31]的值来进行符号扩展,以填充立即数值的高2 ......
集成电路 电路 数字 RISC-V RISC

数学软件 研究发展 白皮书

大前天 在 数学吧 看到 《一个简单的非隠非三角非超越函数 却让双精度浮点无力招架》 https://tieba.baidu.com/p/8818621218 , 昨天又看了一下, 这个帖子值得关注和研究 。 我叫 @dons222 研究 数学软件, 他退缩了, 他要去搞他的工程项目, 创造眼前的效 ......
白皮 白皮书 数学 软件

《重构:改善既有代码的设计》读书笔记三

7、Feature Envy(依恋情结) 函数对于某个类的兴趣高过对自己所处类的兴趣。 把这个函数移至另一个地点,移到它该去的地方。Move Method(搬移函数) 如果一个函数用到几个类的功能,则该判断哪个类拥有最多被此函数使用的数据,然后就把这个函数和那些数据摆在一起。Extract Meth ......
代码 笔记

代码随想录day03 链表删除 链表类的实现 反转链表

首先是链表的删除操作 热身题 这里使用了一个新的头指针 这样在删除头指针的时候就不需要进行额外的判断 然后是链表类的实现 需要一点背诵加上深刻理解 有时候理解了但是写起来还是会有些指针边界的小问题 应该多写写多记一下就会好了 还有就是手写链表要常复习吧 学习新语言应该也要对这些常用的数据结构进行手写 ......
随想录 随想 代码 day 03

java接口自动化系列(14):一键执行生成并打开报告

本系列汇总,请查看这里:https://www.cnblogs.com/uncleyong/p/15867903.html 前言 在前面的篇幅中,查看测试报告,我们要先运行自动化框架(执行testng.xml文件或者mvn clean test命令)生成allure-results,然后再生成all ......
接口 报告 java

代码随想录算法训练营第十七天 | 110.平衡二叉树,257. 二叉树的所有路径,404.左叶子之和

一、110.平衡二叉树 题目链接: LeetCode 110.平衡二叉树 学习: 思路: 后序遍历。实际上是由叶结点到根结点,若有一颗子树不是平衡二叉树,则直接返回给根结点 二、257.二叉树的所有路径 题目链接: LeetCode 257.二叉树的所有路径 学习: 思路: 递归+回溯。因为是线=先 ......
随想录 之和 训练营 随想 算法

微信小程序编辑在线运行代码

​ 1、进入小程序 1)微信搜索 微信搜索 "cjavapy" 或 "CJavaPY编程之路" 可以找到小程序。 2)微信扫码 微信扫描下面二维码,可以进入微信小程序: ​编辑 2、代码运行 进入小程序后,点击 "代码运行",出现编辑器,可以编辑在线运行,支持C/C++,C#,Java,Python ......
代码 程序

临时存代码

#include<bits/stdc++.h> #define int long long #define endl '\n' using namespace std; template<typename Tp> inline void read(Tp&x) { x=0;register bool ......
代码

2023-12-29 hbuilderx运行小程序中常常遇到保存代码后不生效的问题==》检查代码保存是否生效

问题描述:微信小程序+uniapp开发,用hbuilderx工具来编译运行的小程序,时不时会出现我明明保存了代码,结果没有编译,要关掉微信开发者工具,然后在hbuilderx点击重新编译才能生效。 原因:代码没有保存故而没有编译。 原来,微信开发者工具运行的包里面对应的页面数据和我写的代码不一致! ......
代码 hbuilderx 程序 问题 2023

代码规范整理

断言使用 #ifndef DEBUG_MODE #define assert(expression) ((void)0) #endif swicth 编写规范 #define UNREACHABLE() assert(0) enum Day { MONDAY, TUESDAY, WEDNESDAY, ......
代码

2023年度评奖:手机系统软件篇

即将和2023年说再见,这一年,久经寒冬的手机市场终于有了回暖趋势,与此同时,各大手机厂商也在手机系统领域有了巨大突破。 这一年,我们告别了小米MIUI,迎来了小米全新操作——澎湃OS,对小米来说这是一个历史性时刻,从发布之日起,小米澎湃OS逐步接替MIUI。 这一年,AI大模型成为“科技顶流”,国 ......
年度 系统 手机 软件 2023

UTools软件推荐

uTools(最强大的工具箱) 官网:uTools官网 - 新一代效率工具平台 推荐指数:※※※※※ 使用感受:个人最推荐的一款软件,主要使用功能是做软件快速启动器。这是一款插件化的集成软件,能根据自己的需要打造【私人专属工具库】,主要特点是轻量、安全、简洁,能够不中断、无干扰的让你随心控制自己的电 ......
UTools 软件

Meta3D -- 开源的Web3D低代码平台

大家好,Meta3D是开源的Web3D低代码平台,快速搭建Web3D编辑器,共建开放互助的web3d生态。 现在发布了v1.0版本 ......
代码 Meta3D Meta3 Web3D 平台

35 VIVADO用户IP软件总线接口封装

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 使用VIVADO基于图形化创新编程FPGA的方 ......
总线 接口 用户 VIVADO 软件

Linux使用OpenSSL生成SSL证书

实现本地nginx ip+ssl的访问 注意openssl和sshkey-gen的区别 生成私钥文件 openssl genrsa -des3 -out localhost.key 2048 去除口令,否则启动nginx时需要密码 openssl rsa -in localhost.key -out ......
证书 OpenSSL Linux SSL

华为云耀云服务器L实例-深度学习环境配置-TensorFlow进行手写数字识别

华为云耀云服务器L实例-深度学习环境配置-TensorFlow进行手写数字识别 产品官网:https://www.huaweicloud.com/product/hecs-light.html 今天我们采用可靠更安全、智能不卡顿、价优随心用、上手更简单、管理特省心的华为云耀云服务器L实例为例,本篇中 ......
TensorFlow 实例 深度 环境 服务器

代码cr总结

1. 前端开发过程中,有的样式没法生成,加入/deep后样式成功渲染,为什么,用这个deep有什么注意点嘛 在前端开发中,如果你发现某些样式没有被正确应用,并且使用了 /deep/ 或 ::v-deep (视具体框架而定) 后样式成功渲染,这通常是因为你遇到了 CSS 作用域问题,特别是在使用 Vu ......
代码

c# 忽略代码段警告

#pragma warning disable CS4014 { Task.Run(() => { if (!LocalDataHelper.ReadPageManager.GetReadList().Any(x => x == bookPageModel.Id)) LocalDataHelper. ......
代码

雷军称小米汽车不可能卖 9 万 9;杭州破获重大勒索病毒案丨 RTE 开发者日报 Vol.116

开发者朋友们大家好: 这里是「RTE 开发者日报」,每天和大家一起看新闻、聊八卦。我们的社区编辑团队会整理分享 RTE (Real Time Engagement) 领域内「有话题的新闻」、「有态度的观点」、「有意思的数据」、「有思考的文章」、「有看点的会议」,但内容仅代表编辑的个人观点,欢迎大家留 ......
小米 开发者 病毒 日报 汽车
共27000篇  :26/900页 首页上一页26下一页尾页