2023 05 31

05.常用测试平台

常用的测试平台 测试用例管理与 Bug 管理平台。 代码管理平台。 流程管理平台。 测试用例管理平台 JIRA:推荐方案,定制性很强。 RedMine:推荐方案,开源,活跃,定制性很强。 TestLink:流行的测试用例管理平台,体验不太好。 其他:Tapd、云效、禅道、GitLab、在线协作文档。 ......
常用 平台 05

05 FPGA 光通信预留章节

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! ......
光通信 章节 FPGA 05

[软件测试] 05 黑盒 等价类划分 习题

提示: 篇幅较长,可以使用Ctrl+F,在页面中快速查询关键词(或者你要找的题目)并跳转到指定的位置。 关键词:黑盒测试,等价类测试,等价类划分 单选题 在黑盒测试方法中,设计测试用例的主要根据是(B)。 A. 程序内部逻辑 B. 程序外部功能 C. 程序数据结构 D. 程序流程图 下列有关等价类方 ......
等价 软件测试 习题 软件 05

2023年回顾和2024年计划

阅读 最近两年的阅读量大幅降低,看视频的时间增加了很多。B站成了2023年每天的必修课,书籍方面则只看过《规训与惩罚》《白领》《What Is ChatGPT Doing》和《SAP Interface Management Guide》这几本。 视频给人即时的欢乐,而书籍则更有回味。我觉得自己现在 ......
2023 2024

2023年总结:不上班的这半年!

最美好的永远是“昨天”,最易流失的永远是“今天”,最不确定的永远是“明天”。 2023 年 6 月 13 日,告别了待了近 3 年的公司,自己出来做 Java 培训了。做的事虽然和之前没太大区别,无非是备新课、带新班,只是没有了固定薪水,却而代之的是自己挣钱自己花。没了稳定,却得了自在。 之后,很多 ......
半年 2023

2023 我的年度总结

2023年,对于我来说是一个崭新的一年,今年我从专科毕业,专科的生活也让我受益良多,在专科时,我主要的学习方向是Java,学习的内容是 数据结构和算法 Java基础 Mysql基础 Java Web SSM SpringBoot SpringCloud 和 SpringCloudAlibaba Re ......
年度 2023

每日总结2023年12月30日

今天在配置Vue的路由,给大家分享一下经验和遇到的问题。 首先我并不是提前安装好的router,是后面手动安装的。安装指令如下: npm i vue-router@3.5.4 安装完毕之后配置路径 新建router文件夹在src目录下,在该目录下新建一个index.js文件 在一切配置完成之后我发现 ......
2023

05 AXI4总线axi-full-master

软件版本:vitis2021.1(vivado2021.1) 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 1概述 使用XILINX 的软件工具VIVADO以及XIL ......
axi-full-master 总线 master AXI4 full

2023.12.30做题纪要

SAM 模板 评价:逆天纸糊串,学不会一点。 #include <bits/stdc++.h> const int MAXN = 3e6 + 100; int N; char ch[MAXN]; long long answer; class Suffix_Automaton { private: ......
纪要 2023 12 30

2023-12-30 训练总结

返回 C 组做题,然后发现自己挂分了。 T1 寻找道路 反向建边,跑 dfs 算出能到达终点的点,然后跑 dij 就可以了。 /** * @file 寻找道路.cpp * @tag: #GMOJ#最短路 * @author: ZnPdCo * @date: 2023-12-30 14:26:11 * ......
2023 12 30

【2023.12.30】PVE的PCIE直通改VGPU授权

之前使用直通有个坏处,就是其他的CT和虚拟机用不了GPU,只能使用核显 在这里参考的链接是 https://gitlab.com/polloloco/vgpu-proxmox apt update apt dist-upgrade apt install -y git build-essential ......
2023 PCIE VGPU PVE 12

2023 Music Exhibition

![](https://pic.imgdb.cn/item/658fa321c458853aef4e5d23.png) ![](https://pic.imgdb.cn/item/658fa355c458853aef4f0542.png) ![](https://pic.imgdb.cn/item/... ......
Exhibition Music 2023

2023.12.30 日记

早上跑 400m,低血糖。 跑完我在操场上呕吐,四肢麻木地瘫在草地。 我无力了。脸部传来瘙痒。痒觉移动到了耳梢。 它在耳朵旁转了几圈,大抵由于那个洞深不可测,便放弃了,继续在我身上爬行。 我感受到飞蝇在我的睫毛上晃动。 我伸起手扇它,它没飞走。 我也没有伸起手。 四肢从冰冷麻木转向燥热。我感觉整个人 ......
日记 2023 12 30

05uifdma_dbuf 3.0 IP介绍

软件版本:vitis2021.1(vivado2021.1) 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 5.1概述 uifdma_dbuf IP是米联客研发用于配 ......
uifdma_dbuf uifdma dbuf 3.0 05

来自泰山运维的2023年终总结

2023就要过完了,大家都在写年终总结,我 也盘点下自己: 全年研发目标基本完成,个人也前进了一丢丢。在此,感谢所有帮助过我的朋友们。 1、年初目标 1. 公司研发任务能够保质、保量的完成。 2. 提升mysql技能,从小白到白又白。 3. 全面掌握k8s。 4. 身体健康、多赚钱。 2、部门研发任 ......
2023

3-1-05 Modesim软件安装

1.1 Modelsim软件版本选择 不同的Vivado版本支持使用的Modesim版本不同,具体可查看Xilinx提供的文档UG973-vivado-release-notes-install-license。 我们使用的Vivado 软件版本是 vivado2017.4,推荐使用版本是 Mode ......
Modesim 软件 05

2023-2024-1 20231414 《计算机基础与程序设计》第十四周学习总结

学期(2023-2024-1) 学号(20231414) 《计算机基础与程序设计》第十四周学习总结 作业信息 这个作业属于哪个课程 <班级的链接>(2023-2024-1-计算机基础与程序设计) 这个作业要求在哪里 <作业要求的链接>(2023-2024-1-计算机基础与程序设计) 这个作业的目标 ......

2023-12-30

2023-12-30 尝试了一下实现中间件,运行那块的函数是请教 chatGPT[1] 得到的,自己之前想的一团乱麻,结果如此简洁。 // 最小中间件实现 // 存储所有中间件 let middlewares: middlewares[] = [] type handel = typeof hand ......
2023 12 30

【专题】全球医疗器械报告 2023报告PDF合集分享(附原数据表)

原文链接:https://tecdat.cn/?p=34720 原文出处:拓端数据部落公众号 在全球范围内,医疗器械行业的检验中心和诊断解决方案、牙科、医疗辅助设备等细分领域的企业表现尤为出色。这些企业在新冠疫情期间或是受益于有利的市场环境,或是凭借创新主导的高增长市场策略取得了显著的优势。相反,手 ......
报告 数据表 医疗器械 器械 医疗

【专题】2023年中国企业出海信心报告PDF合集分享(附原数据表)

原文链接:https://tecdat.cn/?p=33457 原文出处:拓端数据部落公众号 由于国内疫情形势严峻,深圳和上海等跨境重镇陷入停摆,导致进仓发货业务停滞,跨省物流中断,货运轮船延误,国际物流也面临困难。阅读原文,获取专题报告合集全文,解锁文末164份企业出海相关报告。 市场萎缩,欧美市 ......
数据表 信心 专题 报告 数据

2023年度总结 2024年度规划

2023年 计划 1、阅读Spring、dubbo、netty、Spring Boot等重要框架的源码; 2、学习设计模式和算法,并能够应用到项目代码中; 3、扩大安全的知识范围,重心从 Web 安全转向工控(ICS)安全、容器/云原生安全、API安全等其它安全方向; 4、对目前存在的Web安全、工 ......
年度 2023 2024

【专题】2023年中国消费者洞察白皮书报告PDF合集分享(附原数据表)

全文链接:https://tecdat.cn/?p=33375 原文出处:拓端数据部落公众号 在疫情后的时代,中国的消费市场正在逐步复苏。政策和社会共同努力,全面提振消费者的信心。与此同时,供给侧正在采用新的内容营销模式,品牌、电商直播和信息平台注重科普专业知识,将品质和创新作为核心竞争力。居民消费 ......
白皮 数据表 白皮书 消费者 专题

2023-2024元旦联欢会小记

Day -2 gg 说放假,终于能确定回来了。 Day -1 开始摆烂,但是还是在学习淀粉质。 怎么说看了付姐的朋友圈,看到大家在包饺子,又错过一个活动怎么说。 gg 说开茶话会。高一同学:茶话会?不,是鸿门宴。 真的是晚会!唱了首《稻香》。感觉回到了高一在班里一起唱歌。晚会在情侣合体的时候达到了高 ......
联欢会 小记 2023 2024

【2023.12.29】修复服务器小记录,重装Proxmox

半年没碰服务器了,没想到还是挂了,卡在BIOS过不去 NUC因为没有主板电池,所以还特地找了下怎么重置,没想到是拔出主板上的黄色保护器,使两个针脚空接 和我想象中的不太一样,照理来说应该是针脚对接,才能重置才对 因为这样子的话,这个黄色保护套就不能随意丢弃了,感觉这个主板的设计有问题 折腾了两小时怒 ......
小记 Proxmox 服务器 2023 12

2023 年终总结

重逢会有期,蹒跚又一年 难得疫情缓和,三年没回国,这次回去呆了一阵子。免不了的走亲访友,大快朵颐,直接导致体重暴增 5 公斤!人的情感真是微妙,山水相隔自是思念,但相见后的离别更是让人痛苦,可见“相忘于江湖”虽然显得冷漠,却是真的洒脱。 眼看年底,抽时间去医院做了健康检查,血压高、眼压高都是意料之内 ......
2023

2023-12-29 css之行内存在多个!important权重时哪个!important优先级最高?==》显示最后一个

假如div里面有多个!important权重的样式类名.a和.b,哪个优先级最高? <div class="a b"></div> .a { display: block !important; } .b { display: none !important; } 答案:b。 谁的!importan ......
important 优先级 权重 多个 2023

2023-12-29 hbuilderx运行小程序中常常遇到保存代码后不生效的问题==》检查代码保存是否生效

问题描述:微信小程序+uniapp开发,用hbuilderx工具来编译运行的小程序,时不时会出现我明明保存了代码,结果没有编译,要关掉微信开发者工具,然后在hbuilderx点击重新编译才能生效。 原因:代码没有保存故而没有编译。 原来,微信开发者工具运行的包里面对应的页面数据和我写的代码不一致! ......
代码 hbuilderx 程序 问题 2023

2023年度评奖:手机系统软件篇

即将和2023年说再见,这一年,久经寒冬的手机市场终于有了回暖趋势,与此同时,各大手机厂商也在手机系统领域有了巨大突破。 这一年,我们告别了小米MIUI,迎来了小米全新操作——澎湃OS,对小米来说这是一个历史性时刻,从发布之日起,小米澎湃OS逐步接替MIUI。 这一年,AI大模型成为“科技顶流”,国 ......
年度 系统 手机 软件 2023

IntelliJ IDEA 2023.3.2 的 AI Assistant 终于被激活了,但我是这样干的!

Jetbrains 推出了大家期待已久的Ai Assistant插件,搭载大量新功能和改进,助力提高大家在 JetBrains IDE 中的Coding效率。 最新Ai Assistant更新包括编辑器中增强的直接代码生成、无需复制等众多优点。但是,很多小伙伴无法使用jetbrains ide 中的... ......
激活 Assistant IntelliJ IDEA 2023

2023年度评奖:电脑整机篇

随着科技的飞速发展,笔记本已经成为我们日常生活和工作中不可或缺的一部分。为了表彰那些在设计和性能上表现出色的笔记本产品,快科技特别举办了这次笔记本年终评奖。 本次评奖以创新性、实用性、性价比等为主要评选标准,力求呈现市场上最具代表性和影响力的笔记本产品。 经过严格的评审和筛选,我们设立了旗舰性能奖、 ......
整机 年度 电脑 2023
共10700篇  :14/357页 首页上一页14下一页尾页