fpga hello-fpga coaxpress manual

DSP+ARM+FPGA,星嵌工业级核心板,降低开发成本和时间

星嵌SOM-XQ138F是小体积,定点/浮点DSP C674x+ ARM9+Xilinx Spartan-6 FPGA工业级三核核心板,72mm*44mm,功耗小、成本低、性价比高。 采用沉金无铅工艺的八层板设计,专业的PCB Layout设计,注重EMC,抗干扰能力强。 L138+FPGA核心板 ......
核心 成本 时间 工业 FPGA

CoaXPress 2.0 FPGA HOST IP Core Linux Demo

目录 Hello-FPGA CoaXPress 2.0 Host FPGA IP Core Linux Demo 4 1 说明 4 2 设备连接 7 3 VIVADO FPGA工程 7 4 调试说明 10 图 1‑1 资料目录 4 图 1‑2 VIVADO工程目录结构 5 图 1‑3 SDK工程目录 ......
CoaXPress Linux FPGA Core Demo

FPGA按键消抖

# 简介 ### 按键 按键是输入设备,一般来说,按键在没有按下的时候是高电平;当按键按下的时候,为低电平。 在***DE2-70 User Manual***中 > Each switch provides a high logic level (3.3 volts) when it is not ......
按键 FPGA

FPGA vivado quartus 设置外挂 编辑器

1.vivado tools->settings->editor ->custom editor... C:\\pg\\Microsoft VS Code Insiders\\Code - Insiders.exe [file name] 2.quartus tools ->options-> pr ......
编辑器 quartus vivado FPGA

m基于FPGA的256点FFT傅里叶变换verilog实现,含testbench,不使用IP核

1.算法仿真效果 本系统进行了Vivado2019.2平台的开发,其中Vivado2019.2仿真结果如下: 2.算法涉及理论知识概要 傅里叶变换(Fourier Transform)是一种重要的信号处理技术,用于将一个时域信号转换为频域表示,分析信号的频率成分。FFT(Fast Fourier T ......
testbench verilog FPGA 256 FFT

FPGA移位加三法

# 介绍 ### BCD码 BCD码的英文全称是**Binary-Coded Decimal‎**,简称BCD,按字面解释是[二进制](https://so.csdn.net/so/search?q=二进制&spm=1001.2101.3001.7020)十进制代码,是一种二进制的数字编码形式。 常 ......
FPGA

m基于FPGA的16QAM软解调verilog实现,含testbench

1.算法仿真效果 本系统进行了两个平台的开发,分别是: Vivado2019.2 Quartusii18.0+ModelSim-Altera 6.6d Starter Edition 其中Vivado2019.2仿真结果如下: Quartusii18.0+ModelSim-Altera 6.6d S ......
testbench verilog FPGA QAM 16

m基于FPGA的QPSK软解调verilog实现,含testbench和MATLAB辅助验证程序

1.算法仿真效果 本系统进行了两个平台的开发,分别是: Vivado2019.2 Quartusii18.0+ModelSim-Altera 6.6d Starter Edition 其中Vivado2019.2仿真结果如下: Quartusii18.0+ModelSim-Altera 6.6d S ......
testbench verilog 程序 MATLAB FPGA

【FPGA基础】时钟设计与异步复位同步撤离设计

一、时钟设计 1、时钟分频设计 累加器时钟分频(32分频) always @(posedge clk and negedge rst_n) begin if (!rst_n) clk_cnt <= 5'b0; else clk_cnt <= clk_cnt + 1'b1; end 异步时钟分频(32 ......
时钟 基础 FPGA

推荐一些有关硬件/FPGA/电路方面的好用的在线网站(持续更新~)

1、在线绘制逻辑门电路图的网址Visual Paradigm: 逻辑图软件 (visual-paradigm.com) 2、在线绘制时序图的网址WaveDrom: WaveDrom - Digital timing diagram everywhere 3、在线电路仿真网站(电路模拟器)Circui ......
电路 方面 硬件 网站 FPGA

FPGA配合R820T

想法:FPGA控制R820T的I2C,将R820T输出的中频做处理。 准备:某宝买的RTL-SDR,原理如下: 软件无线电通过数字信号处理来实现无线信号的调制解调。在RTL-SDR中通过调谐芯片(R820T、E4000)将无线信号下变频至低中频信号,由RTL2832U中的ADC采样得到数字信号,再进 ......
R820T FPGA 820T R820 820

m基于FPGA的带相位偏差16QAM调制信号相位估计和补偿算法verilog实现

1.算法仿真效果 本系统进行了Vivado2019.2平台的开发,Vivado2019.2仿真结果如下: Tttttttttttttt111112222222 将FPGA的仿真结果导入到matlab显示星座图。 Ttttttttttt333333333444444 2.算法涉及理论知识概要 从以下几 ......
相位 偏差 算法 信号 verilog

m基于FPGA的256QAM调制信号产生模块verilog实现,包含testbench

1.算法仿真效果 本系统进行Vivado2019.2平台的开发,其中Vivado2019.2仿真结果如下: 将基带导入到MATLAB显示星座图: 2.算法涉及理论知识概要 256QAM调制是一种高阶调制方式,具有较高的传输速率和频谱效率。在数字通信系统中,如何产生256QAM调制信号是一个重要的问题 ......
testbench 模块 信号 verilog FPGA

m基于FPGA的各类存储器纯Verilog实现,包含testbench,包括RAM,SRAM等

1.算法仿真效果 本系统进行了Vivado2019.2平台的开发,Vivado2019.2仿真结果如下: ram SRAM 2.算法涉及理论知识概要 FPGA(Field Programmable Gate Array)是一种可编程逻辑器件,具有可重构性、高速度、低功耗等特点,被广泛应用于数字电路设 ......
存储器 testbench Verilog FPGA SRAM

FPGA数码管动态显示

FPGA驱动6位数码管,主控芯片EP4CE6F17C8N。 所使用实验板的数码管原理图如图所示,所使用的数码管3661BS是6位共阳极的数码管。使用PNP三极管驱动数码管,当三极管基极SMG_W0引脚输入低电平时,PNP三极管导通。通过控制SMG_W0~W5的电平来控制三极管的导通,从而控制位选信号 ......
数码管 动态 数码 FPGA

易灵思FPGA开发软件Efinity的安装教程

万事开头难,我是歪老哥。 在正式开始易灵思国产FPGA的系列开发教程之前,首当其冲的必然是易灵思FPGA开发IDE: Efinity的安装与使用。因此本文主要介绍Efinity软件的 下载、安装,以及下载器驱动的安装,及使用流程等。 ......
开发软件 Efinity 教程 软件 FPGA

m基于FPGA的带相位偏差64QAM调制信号相位估计和补偿算法verilog实现,包含testbench

1.算法仿真效果 本系统进行了Vivado2019.2平台的开发,其中Vivado2019.2仿真结果如下: 将FPGA的仿真结果导入到matlab中,显示星座图,结果如下所示: 2.算法涉及理论知识概要 在现代通信系统中,调制技术是实现高速数据传输和频谱效率优化的重要手段。其中,64QAM调制技术 ......
相位 偏差 算法 testbench 信号

m基于FPGA的1024QAM调制信号产生模块verilog实现,包含testbench

1.算法仿真效果 本系统进行了Vivado2019.2平台的开发,Vivado2019.2仿真结果如下: 将1024调制信号导入到matlab显示星座图 2.算法涉及理论知识概要 本文将详细介绍基于FPGA的1024QAM调制信号产生模块。本文将从以下几个方面进行介绍:1024QAM调制信号的基本原 ......
testbench 模块 信号 verilog FPGA

【Implementation】Vivado增量编译:加速FPGA设计实现

一、Vivado增量编译概述 Vivado增量编译 (Incremental Implementation),是指针对设计中已经完成的部分,仅编译修改的部分,并在这些部分重新生成比特流,以加速设计实现的过程。简单来说,就是只更新那些被修改过的代码,而不是每次都对整个设计进行重新编译。 与传统的完全重 ......
增量 Implementation Vivado FPGA

m基于FPGA的桶形移位寄存器verilog实现,包含testbench

1.算法仿真效果 本系统进行了两个平台的开发,分别是: Vivado2019.2 Quartusii18.0+ModelSim-Altera 6.6d Starter Edition 其中Vivado2019.2仿真结果如下: Quartusii18.0+ModelSim-Altera 6.6d S ......
寄存器 testbench verilog FPGA

m基于FPGA的64QAM调制解调通信系统verilog实现,包含testbench,不包含载波同步

1.算法仿真效果 本系统进行了两个平台的开发,分别是: Vivado2019.2 Quartusii18.0+ModelSim-Altera 6.6d Starter Edition 其中Vivado2019.2仿真结果如下: 仿真结果导入matlab可以看星座图: Quartusii18.0+Mo ......
载波 testbench verilog 系统 FPGA

m基于FPGA的DQPSK调制解调通信系统verilog实现,包含testbench,不包含载波同步

1.算法仿真效果 本系统进行了两个平台的开发,分别是: Vivado2019.2 Quartusii18.0+ModelSim-Altera 6.6d Starter Edition 其中Vivado2019.2仿真结果如下: 将上面的各个信号放大,各个信号含义如下: Quartusii18.0+M ......
载波 testbench verilog 系统 DQPSK

高速图像采集卡:基于TI DSP TMS320C6678、Xilinx K7 FPGA XC7K325T的高速数据处理核心板 高速信号采集处理板

基于TI DSP TMS320C6678、Xilinx K7 FPGA XC7K325T的高速数据处理核心板 一、板卡概述 该DSP+FPGA高速信号采集处理板由北京太速科技自主研发,包含一片TI DSP TMS320C6678和一片Xilinx FPGA K7 XC72K325T-1ffg900。 ......
高速 数据处理 信号 图像 核心

易灵思FPGA软件更新的节奏,也许能磨出一个好产品

一个好的产品,必须不断地改进,不断地否定自己,不断地革命,不断地优化自己,才能做到最好;同样的,作为FPGA行业的我们,在技术圈也有类似的事情在不断地发生。以国产易灵思的FPGA工具链:Efinity为例,Elitestek(易灵思)公司几乎每个月,甚至每周都在更新自己的软件,那他是否就可以磨练一个... ......
节奏 产品 软件 FPGA

FPGA和USB学习(一)

前言 最近刚刚接触usb的相关知识,是一个小白,正在努力学习中,文章学习自 OpenFPGA用户。 以后有时间一定去官方网址好好学习usb更多知识和细节,fighting!! 正文 USB官方网址:usb.org/document-librar... usb即"universal serial bu ......
FPGA USB

深入浅出玩转FPGA阅读随笔

# 笔记4语法学习的经验之谈 可综合的语法:可实现硬件电路的语法 行为级语法:不能够实现硬件电路却常常可作为仿真验证的高层次语法 # 笔记9复位设计 上升沿触发的D触发器内部电路结构 ![image](https://img2023.cnblogs.com/blog/3128303/202307/3 ......
深入浅出 随笔 FPGA

FPGA图像增强,基于FPGA的图像去雾处理,算法为暗通道先验,并在matlab上实现了算法的仿真,使用的软件为qu

FPGA图像增强,基于FPGA的图像去雾处理,算法为暗通道先验,并在matlab上实现了算法的仿真,使用的软件为quartus13.0。注意在FPGA上实现时,在浓雾区域和天空区域的处理效果不算太好。ID:48300647242454158 ......
算法 图像 先验 FPGA 通道

基于FPGA的电梯控制系统,采用VHDL语言进行设计,包括相应的功能仿真,可以提供设计说明文档。

基于FPGA的电梯控制系统,采用VHDL语言进行设计,包括相应的功能仿真,可以提供设计说明文档。ID:9150646815525029 ......
控制系统 电梯 语言 功能 文档

基于FPGA的信号发生器,使用VHDL或Verilog语言进行开发,可以提供相关的仿真和设计说资料。

基于FPGA的信号发生器,使用VHDL或Verilog语言进行开发,可以提供相关的仿真和设计说资料。ID:3150646782307233 ......

基于FPGA的DDS波形发生器的设计 1. Verilog代码编写 2. 可实现正弦波

基于FPGA的DDS波形发生器的设计1. Verilog代码编写2. 可实现正弦波、方波、三角波、锯齿波等四种波形的切换3. 可调频调幅4. 可包含代码、使用说明、仿真教学,FPGA模块连接视频 ID:6199630346385352 ......
正弦 波形 发生器 Verilog 代码