原语xilinx fpga

m基于FPGA的多径信道模拟verilog实现,包含testbench,可配置SNR,频偏,多径增益和多径延迟

1.算法仿真效果 其中Vivado2019.2仿真结果如下: 2.算法涉及理论知识概要 瑞利分布是一个均值为0,方差为σ²的平稳窄带高斯过程,其包络的一维分布是瑞利分布。其表达式及概率密度如图所示。瑞利分布是最常见的用于描述平坦衰落信号接收包络或独立多径分量接受包络统计时变特性的一种分布类型。两个正 ......
信道 testbench verilog FPGA SNR

直接从命令行启动xilinx/SDK并打开工程

编写一个启动脚本`s19sdk.sh`, 内容如下: ```shell #!/bin/bash source /opt/Xilinx/SDK/2019.1/settings64.sh xsdk -workspace ~/work/myproject/myproject.sdk & ``` 然后增加执 ......
命令 xilinx 工程 SDK

m基于FPGA的高斯白噪声信道模拟系统verilog实现,包含testbench,可以配置不同的SNR和频偏

1.算法仿真效果 vivado2019.2仿真结果如下: SNR=0db,无频偏 SNR=5db,无频偏 SNR=25db,无频偏 SNR=45db,带频偏 2.算法涉及理论知识概要 高斯白噪声信道在通信系统中具有重要意义,模拟此类信道有助于评估系统性能。本文提出的FPGA实现系统可以灵活地模拟不同 ......
信道 噪声 testbench verilog 系统

PCI9054快速入门:硬件引脚与FPGA驱动源码

1:PCI9054的FPGA侧(local侧引脚定义)2:PCI9054的C模式下的读写时序3:FPGA代码部分具体代码: 1:PCI9054的FPGA侧(local侧引脚定义) 而PCI9054的本地总线端的主要管脚信号定义如下表所示。这些管脚是连接到本地逻辑控制电路部分的,并由本地逻辑控制电路部 ......
源码 硬件 9054 FPGA PCI

m基于FFT傅里叶变换的256QAM基带信号频偏估计和补偿FPGA实现,含testbench和matlab星座图显示

1.算法仿真效果 本系统进行了Vivado2019.2平台的开发,并使用matlab2022a对结果进行星座图的显示: 频偏基带256qam信号和频偏补偿后的256qam基带信号使用matlab显示星座图,结果如下: 2.算法涉及理论知识概要 FFT傅里叶变换是一种高效的频谱分析方法,可以将时域信号 ......
基带 testbench 信号 星座 matlab

【FPGA】 DDR3读写(基于User Interface)

# 【FPGA】 DDR3读写(基于User Interface) ## DDR3概述 DDR3 (double data rate 3 synchronous dynamic RAM) 第三代双倍数据速率同步动态随机存储器 - 同步:数据的速去和写入时钟同步 - 动态:数据掉电无法保存,需要周期性 ......
Interface FPGA DDR3 User DDR

FPGA常用IP核

## 前言: 芯片行业中的IP,一般称为IP(Intellectual Property)核,是具有知识产权核的集成电路芯核的总称。说白了就是厂家实现的具有特定功能工具,然后我们可以直接调用,就相当于是函数库吧,如果要定制IP核的化是需要氪金的,对于学习来说,免费的就够用啦。 在FPGA里,我用的是 ......
常用 FPGA

基于FFT傅里叶变换的64QAM基带信号频偏估计和补偿算法FPGA实现,包含testbench和matlab星座图显示

1.算法仿真效果 本系统进行了Vivado2019.2平台的开发,并使用matlab2022a对结果进行星座图的显示: 将FPGA的频偏基带QPSK信号和频偏补偿后的QPSK基带信号使用matlab显示星座图,结果如下: 2.算法涉及理论知识概要 FFT傅里叶变换是一种高效的频谱分析方法,可以将时域 ......
基带 算法 testbench 信号 星座

基于FFT傅里叶变换的16QAM基带信号频偏估计和补偿算法FPGA实现,包含testbench和matlab星座图显示

1.算法仿真效果 本系统进行了Vivado2019.2平台的开发,并使用matlab2022a对结果进行星座图的显示: 将FPGA的频偏基带QPSK信号和频偏补偿后的QPSK基带信号使用matlab显示星座图,结果如下: 2.算法涉及理论知识概要 FFT傅里叶变换是一种高效的频谱分析方法,可以将时域 ......
基带 算法 testbench 信号 星座

为什么FPGA中推荐使用独热码?

独热码只有一个比特位不同,所以在进行比较的时候: 假如我们要判断状态机是否处于某状态S1,代码如下 格雷码:`assign S1 = (STATUS == 2'b01)` 二进制码:`assign S1 = (STATUS == 2'b01)` 而独热码:`assign S1 = STATUS[1] ......
FPGA

m基于FFT傅里叶变换的QPSK基带信号频偏估计和补偿算法FPGA实现,包含testbench和matlab星座图显示

1.算法仿真效果 本系统进行了Vivado2019.2平台的开发,并使用matlab2022a对结果进行星座图的显示: 将FPGA的频偏基带QPSK信号和频偏补偿后的QPSK基带信号使用matlab显示星座图,结果如下: 2.算法涉及理论知识概要 QPSK(Quadrature Phase Shif ......
基带 算法 testbench 信号 星座

FPGA学习笔记 Label: Research

[Synth 8-9486] formal port 'addr' has no actual or default value [D:/FPGA/TEST_CARD_HIT/top.vhd:492] 有端口没有连接,在top文件中把端口加上 [Opt 31-67] Problem: A LUT2 ......
Research 笔记 Label FPGA

DSP+ARM+FPGA,星嵌工业级核心板,降低开发成本和时间

星嵌SOM-XQ138F是小体积,定点/浮点DSP C674x+ ARM9+Xilinx Spartan-6 FPGA工业级三核核心板,72mm*44mm,功耗小、成本低、性价比高。 采用沉金无铅工艺的八层板设计,专业的PCB Layout设计,注重EMC,抗干扰能力强。 L138+FPGA核心板 ......
核心 成本 时间 工业 FPGA

CoaXPress 2.0 FPGA HOST IP Core Linux Demo

目录 Hello-FPGA CoaXPress 2.0 Host FPGA IP Core Linux Demo 4 1 说明 4 2 设备连接 7 3 VIVADO FPGA工程 7 4 调试说明 10 图 1‑1 资料目录 4 图 1‑2 VIVADO工程目录结构 5 图 1‑3 SDK工程目录 ......
CoaXPress Linux FPGA Core Demo

FPGA按键消抖

# 简介 ### 按键 按键是输入设备,一般来说,按键在没有按下的时候是高电平;当按键按下的时候,为低电平。 在***DE2-70 User Manual***中 > Each switch provides a high logic level (3.3 volts) when it is not ......
按键 FPGA

FPGA vivado quartus 设置外挂 编辑器

1.vivado tools->settings->editor ->custom editor... C:\\pg\\Microsoft VS Code Insiders\\Code - Insiders.exe [file name] 2.quartus tools ->options-> pr ......
编辑器 quartus vivado FPGA

m基于FPGA的256点FFT傅里叶变换verilog实现,含testbench,不使用IP核

1.算法仿真效果 本系统进行了Vivado2019.2平台的开发,其中Vivado2019.2仿真结果如下: 2.算法涉及理论知识概要 傅里叶变换(Fourier Transform)是一种重要的信号处理技术,用于将一个时域信号转换为频域表示,分析信号的频率成分。FFT(Fast Fourier T ......
testbench verilog FPGA 256 FFT

FPGA移位加三法

# 介绍 ### BCD码 BCD码的英文全称是**Binary-Coded Decimal‎**,简称BCD,按字面解释是[二进制](https://so.csdn.net/so/search?q=二进制&spm=1001.2101.3001.7020)十进制代码,是一种二进制的数字编码形式。 常 ......
FPGA

m基于FPGA的16QAM软解调verilog实现,含testbench

1.算法仿真效果 本系统进行了两个平台的开发,分别是: Vivado2019.2 Quartusii18.0+ModelSim-Altera 6.6d Starter Edition 其中Vivado2019.2仿真结果如下: Quartusii18.0+ModelSim-Altera 6.6d S ......
testbench verilog FPGA QAM 16

XILINX SDK烧录FLASH报错不支持旧版hw_server

最近频繁遇到SDK报错,说是不支持hw_server旧版本,此时打开vivado识别的时候也是一样报错,可能原因是我电脑安装了多个版本的VIVADO导致的,那么怎么解决呢? 打开任务管理器,kill hw_server即可。 ![](https://img2023.cnblogs.com/blog/ ......
hw_server XILINX server FLASH SDK

m基于FPGA的QPSK软解调verilog实现,含testbench和MATLAB辅助验证程序

1.算法仿真效果 本系统进行了两个平台的开发,分别是: Vivado2019.2 Quartusii18.0+ModelSim-Altera 6.6d Starter Edition 其中Vivado2019.2仿真结果如下: Quartusii18.0+ModelSim-Altera 6.6d S ......
testbench verilog 程序 MATLAB FPGA

【FPGA基础】时钟设计与异步复位同步撤离设计

一、时钟设计 1、时钟分频设计 累加器时钟分频(32分频) always @(posedge clk and negedge rst_n) begin if (!rst_n) clk_cnt <= 5'b0; else clk_cnt <= clk_cnt + 1'b1; end 异步时钟分频(32 ......
时钟 基础 FPGA

推荐一些有关硬件/FPGA/电路方面的好用的在线网站(持续更新~)

1、在线绘制逻辑门电路图的网址Visual Paradigm: 逻辑图软件 (visual-paradigm.com) 2、在线绘制时序图的网址WaveDrom: WaveDrom - Digital timing diagram everywhere 3、在线电路仿真网站(电路模拟器)Circui ......
电路 方面 硬件 网站 FPGA

FPGA配合R820T

想法:FPGA控制R820T的I2C,将R820T输出的中频做处理。 准备:某宝买的RTL-SDR,原理如下: 软件无线电通过数字信号处理来实现无线信号的调制解调。在RTL-SDR中通过调谐芯片(R820T、E4000)将无线信号下变频至低中频信号,由RTL2832U中的ADC采样得到数字信号,再进 ......
R820T FPGA 820T R820 820

m基于FPGA的带相位偏差16QAM调制信号相位估计和补偿算法verilog实现

1.算法仿真效果 本系统进行了Vivado2019.2平台的开发,Vivado2019.2仿真结果如下: Tttttttttttttt111112222222 将FPGA的仿真结果导入到matlab显示星座图。 Ttttttttttt333333333444444 2.算法涉及理论知识概要 从以下几 ......
相位 偏差 算法 信号 verilog

m基于FPGA的256QAM调制信号产生模块verilog实现,包含testbench

1.算法仿真效果 本系统进行Vivado2019.2平台的开发,其中Vivado2019.2仿真结果如下: 将基带导入到MATLAB显示星座图: 2.算法涉及理论知识概要 256QAM调制是一种高阶调制方式,具有较高的传输速率和频谱效率。在数字通信系统中,如何产生256QAM调制信号是一个重要的问题 ......
testbench 模块 信号 verilog FPGA

m基于FPGA的各类存储器纯Verilog实现,包含testbench,包括RAM,SRAM等

1.算法仿真效果 本系统进行了Vivado2019.2平台的开发,Vivado2019.2仿真结果如下: ram SRAM 2.算法涉及理论知识概要 FPGA(Field Programmable Gate Array)是一种可编程逻辑器件,具有可重构性、高速度、低功耗等特点,被广泛应用于数字电路设 ......
存储器 testbench Verilog FPGA SRAM

FPGA数码管动态显示

FPGA驱动6位数码管,主控芯片EP4CE6F17C8N。 所使用实验板的数码管原理图如图所示,所使用的数码管3661BS是6位共阳极的数码管。使用PNP三极管驱动数码管,当三极管基极SMG_W0引脚输入低电平时,PNP三极管导通。通过控制SMG_W0~W5的电平来控制三极管的导通,从而控制位选信号 ......
数码管 动态 数码 FPGA

易灵思FPGA开发软件Efinity的安装教程

万事开头难,我是歪老哥。 在正式开始易灵思国产FPGA的系列开发教程之前,首当其冲的必然是易灵思FPGA开发IDE: Efinity的安装与使用。因此本文主要介绍Efinity软件的 下载、安装,以及下载器驱动的安装,及使用流程等。 ......
开发软件 Efinity 教程 软件 FPGA