流水fpga

基于STM32单片机的流水灯控制-74HC595_stm32驱动hc595d_工控小机的博客-CSDN博客

基于STM32单片机的流水灯控制-74HC595_stm32驱动hc595d_工控小机的博客-CSDN博客 https://blog.csdn.net/dulin1990/article/details/128154284?ops_request_misc=%257B%2522request%255 ......
博客 单片机 595 流水 32

基于FPGA的RGB图像转Ycbcr实现,包括tb测试文件以及MATLAB辅助验证

1.算法运行效果图预览 将FPGA的数据导入到matlab进行显示 2.算法运行软件版本 Vivado2019.2 matlab2022a 3.算法理论概述 基于FPGA的RGB图像转Ycbcr转换的实现,主要是通过数字电路的设计,利用硬件并行处理的能力,快速完成图像数据的转换。 RGB和YcbCr ......
图像 文件 MATLAB Ycbcr FPGA

基于FPGA的图像形态学膨胀算法实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 在FPGA中仿真结果如下所示: 将FPGA中的仿真结果导入到matlab显示二维图,效果如下: 2.算法运行软件版本 matlab2022a vivado2019.2 3.算法理论概述 膨胀操作是形态学中另外一种基本的操作。膨胀操作和腐蚀操作的作用是相反的,膨胀操作能对图像的 ......
形态学 算法 形态 图像 文件

基于FPGA的数字时钟设计与实现(含源码)

随着数字电子技术的不断发展,基于FPGA(现场可编程门阵列)的数字时钟设计方案逐渐成为了一种流行的选择。本篇博客将详细介绍如何利用FPGA实现一个简单的数字时钟,涉及到分频器、数码管驱动、时分秒计数、三八译码器和扫描数码管等模块。 1. 系统设计概述 在本设计中,我们将使用FPGA来实现一个基本的数 ......
时钟 源码 数字 FPGA

Verilog实现Mips五级流水CPU

MIPS 项目仓库请见https://github.com/ZhangFirst1/MIPS 使用Verilog实现的Mips CPU,实现了简易的五级流水。项目使用Vivado构建。 实现了ori、or、and、xor、sll、jal、beq、sw、lw、subu、addu指令。解决了流水线数据相 ......
流水 Verilog Mips CPU

RGB转YCbCr——基于《基于MATLAB与FPGA的图像处理教程》

YCbCr介绍 YCbCr由Y、Cb、Cr组成。为一种数字信号 1、Y:表示颜色的明亮度和浓度,也可叫灰度阶。(通过RGB转换YCBCR提取Y分量也可以得到灰度图像) 2、Cb:表示颜色的蓝色浓度偏移量即RGB输入信号蓝色部分与RGB信号亮度值之间的差异。 3、Cr:表示颜色的红色浓度偏移量即RGB ......
图像处理 图像 教程 MATLAB YCbCr

基于FPGA的图像白平衡算法实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 FPGA(Field-Programmable Gate Array)是一种可编程逻辑电路,可以通过编程实现各种算法,包括图像白平衡算法。图像白平衡算法是一种用于调整图像颜色温度的方法, ......
算法 图像 文件 MATLAB FPGA

【友晶科技TERASIC】【翻译】SOC FPGA的SD卡IMAGE制作——4. 生成Preloaderc

翻译原文来自:https://www.rocketboards.org/foswiki/Documentation/EmbeddedLinuxBeginnerSGuide ......
Preloaderc TERASIC IMAGE 科技 FPGA

英特尔oneAPI的FPGA环境激活命令

背景 编译报错 OpenCL platform ID is empty OpenCL platform name is empty Failed to find any of these OpenCL platforms: Intel(R) FPGA Emulation Platform for O ......
激活 命令 环境 oneAPI FPGA

搭建GitLab的cicd流水线

大致内容如下 通过部署一个简单的项目到 gitlab pages 初步了解 gitlab CI/CD 了解 gitlab CI/CD 基础概念、运行机制等 使用 gitlab 自带 runner 进行自动化部署、使用 ssh 命令操作部署远程服务器 在自己服务器安装 gitlab runner 进行 ......
流水线 流水 GitLab cicd

FPGA入门笔记007_A——按键消抖模块设计与验证(状态机、$random、仿真模型、task语法)

实验现象: 每次按下按键0,4个LED显示状态以二进制加法格式加1。 每次按下按键1,4个LED显示状态以二进制加法格式减1。 知识点: 1、testbench中随机数发生函数$random的使用; 2、仿真模型的概念 1、按键波形分析: 按键未按,FPGA管脚检测到高电平。 按键按下,FPGA管脚 ......
语法 按键 模块 模型 状态

FPGA 实现SPI 主机双工通信 CS前后肩可调 操作时钟频率可调 ,SPI模式可调,传输位宽可调(最大32位)

1 //testbench 2 `timescale 1ns/1ns 3 module lcd_spi_m_tb(); 4 reg rst_n_i; 5 reg spi_clkx_i; 6 reg [31:0] spi_data_i; 7 reg spi_start; 8 reg spi_miso_ ......
时钟 SPI 频率 主机 模式

哇塞,实测780MB/s!基于RK3568J与FPGA的PCIe通信案例详解

ARM + FPGA架构有何种优势 近年来,随着中国新基建、中国制造2025的持续推进,单ARM处理器越来越难满足工业现场的功能要求,特别是能源电力、工业控制、智慧医疗等行业通常需要ARM + FPGA架构的处理器平台来实现特定的功能,例如多路/高速AD采集、多路网口、多路串口、多路/高速并行DI/ ......
案例 3568J 3568 FPGA PCIe

基于LUT查找表方法的图像gamma校正算法FPGA实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 将gamma=2.2和gamma=1/2.2的数据分别导入到matlab进行对比: 2.算法运行软件版本 matlab2022a 3.算法理论概述 基于LUT查找表方法的图像gamma校正算法是一种用于改善图像显示效果的技术,它通过对图像像素的灰度值进行非线性变换,使得图像在 ......
算法 图像 文件 方法 MATLAB

基于FPGA的图像sobel锐化实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 将FPGA的仿真结果导入到matlab显示图像效果 2.算法运行软件版本 MATLAB2022a,vivado2019.2 3.算法理论概述 基于FPGA的图像Sobel锐化实现,是一种通过使用Sobel算子对图像进行边缘检测,从而提高图像清晰度的方法。Sobel算子是一种常 ......
图像 文件 MATLAB sobel FPGA

HDMI——FPGA

简介 VGA 接口体积较大,不利于便携设备的集成;且传输的模拟信号易受外界干扰,产生信号畸变。为了解决 VGA 接口的诸多问题,视频接口开始了一次革新。 VGA 接口之后,首先推出的的是 DVI 接口, DVI 是基于 TMDS(Transition Minimized Differential S ......
HDMI FPGA

AM62x GPMC并口如何实现“小数据-低时延,大数据-高带宽”—ARM+FPGA低成本通信方案

GPMC并口简介 GPMC(General Purpose Memory Controller)是TI处理器特有的通用存储器控制器接口,支持8/16bit数据位宽,支持128MB访问空间,最高时钟速率133MHz。GPMC是AM62x、AM64x、AM437x、AM335x、AM57x等处理器专用于 ......
数据 并口 带宽 成本 方案

Docker的CI/CD流水线简单配置(在windous本地配置)

在做配置之前,请先了解一下知识点,更加方便了解下面代码: 1.文件后缀名为.yml 2.gitlab-runner 3.CI/CD 了解完之后就开始本次代码讲解(一定要先进行了解) 首先先要做一些准备工作,方便下面步骤的使用 1.运行docker里的gitlab 2.找到你想应用的项目仓库里去设置弄 ......
流水线 流水 windous Docker CI

推荐一些有关硬件/FPGA/电路方面的好用的在线网站(持续更新~)

有关硬件/FPGA/电路方面的好用的在线网站 1、在线绘制逻辑门电路图的网址Visual Paradigm: 逻辑图软件 (visual-paradigm.com) 2、在线绘制时序图的网址WaveDrom: WaveDrom - Digital timing diagram everywhere ......
电路 方面 硬件 网站 FPGA

【FPGA】FIR数字滤波器设计心得

【FPGA】FIR数字滤波器设计心得 0 前言 学校的课程设计要求设计FIR滤波器,FPGA芯片是Xilinx的Artix 7,板卡是依元素科技有限公司做的拓展板,2017年的,目前搜不到了,估计是被迭代了。 本文作为课程设计的复盘和总结。 1 FIR滤波器设计 1.1 原理 输入输出特性: FIR ......
滤波器 心得 数字 FPGA FIR

基于FPGA的图像指数对比度增强算法实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 2.算法运行软件版本 Vivado2019.2 matlab2022a 3.算法理论概述 3.1图像指数对比度增强概述 图像指数对比度增强是一种常见的图像处理方法,主要是通过改变图像的像素值来增强图像的对比度。具体来说,它通常通过将原始图像的像素值进行缩放和偏移来拉伸像素值的 ......
对比度 算法 图像 指数 文件

FPGA实现分频器

1 `timescale 1ns/1ns 2 3 module fpq_tb(); 4 5 6 reg [0:0] sys_clk; 7 reg [0:0] sys_rst_n; 8 wire [0:0] clk_out; 9 10 initial begin 11 sys_clk=1'b0; 12 ......
分频器 FPGA

01-点亮第一个led,led闪烁,led流水灯

01-点亮第一个led,led闪烁,led流水灯 前言 最近跟着江协科技的教程([2-1] 点亮一个LED_哔哩哔哩_bilibili)学习51单片机,以此记录。实验的单片机集成板如下: 软件:Keil-v5, stc-isp-v6.92F 一、点亮一个led灯 其中有两点需要注意: 江协科技上的开 ......
led 流水 01

基于FPGA的图像二值化处理,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 将FPGA的数据导入到matlab进行显示 2.算法运行软件版本 Vivado2019.2 matlab2022a 3.算法理论概述 基于FPGA(现场可编程门阵列)的图像二值化处理主要依赖于数字图像处理技术。其原理是将灰度图像转化为二值图像,使图像只剩下黑白两种颜色,从而简 ......
图像 文件 MATLAB FPGA

FPGA入门笔记006——状态机设计实例

状态分析: 状态1:等待“H”的到来,如果检测到“H”,进入状态2,检测“e”,否则一直等待“H”; 状态2:检测当前字符是否是“e”,如果是“e”,跳转到状态3,检测“l”,否则,回到状态1,重新等待“H”; 状态3:检测当前字符是否是“l”,如果是“l”,跳转到状态4,检测“l”,否则,回到状态 ......
实例 状态 笔记 FPGA 006

基于CrossLink-NX FPGA的核心板电路设计

引言 Field Programmable Gate Array(简称,FPGA)于1985年由XILINX创始人之一Ross Freeman发明,第一颗FPGA芯片XC2064为XILINX所发明,FPGA一经发明,后续的发展速度之快,超出大多数人的想象,近些年的FPGA,始终引领先进的工艺。在通 ......

STM32流水灯

//GPIO_Write(GPIOA,~0x0004);//LED1 =PD2 LED2=PA8 //Delay_ms(500); GPIO_Write(GPIOD,~0x0100);//直接以二进制代码的方式直接写如即可 GPIO_Pin_All //别忘记把IO全选在一条边线上面 ......
流水 STM 32

FPGA入门笔记005——阻塞赋值和非阻塞赋值的区别

定义一个示例模组,代码如下: module block_nonblock( Clk, Rst_n, a, b, c, out ); input Clk; input Rst_n; input a,b,c; output reg[1:0]out; //out = a + b + c,out最大为3,所 ......
笔记 FPGA 005

FPGA入门笔记004——BCD计数器设计与使用

1、设置一个最大值为10的四位计数器,Verilog代码如下: module BCD_Counter( Clk, Cin, Rst_n, Cout, q ); input Clk; //计数器基准时钟 input Cin; //计数器进位输入 input Rst_n; //系统复位 // outpu ......
计数器 笔记 FPGA 004 BCD

FPGA入门笔记003——计数器IP核调用与验证

FPGA设计方式主要有三种: 1、原理图(不推荐); 2、Verilog HDL设计方式; 3、IP核输入方式 计数器IP核调用与验证步骤如下: 1、添加IP核文件 打开Quartus II,新建一个项目,名称为counter_ip。 选择Tools->MegaWizard Plug-In Mana ......
计数器 笔记 FPGA 003