流水fpga

m基于FPGA的8ASK调制解调系统verilog实现,包含testbench测试文件

1.算法仿真效果 本系统Vivado2019.2平台开发,测试结果如下: rtl结构如下: 2.算法涉及理论知识概要 8ASK(八进制振幅键控)是一种数字调制技术,它是ASK(振幅键控)的一种扩展形式。在8ASK中,信号的振幅被调制成八个不同的级别,每个级别代表三个二进制位的信息。因此,与2ASK和 ......
testbench verilog 文件 系统 FPGA

基于FPGA的RGB图像转化为灰度图实现,通过MATLAB进行辅助验证

1.算法运行效果图预览 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 基于FPGA的RGB图像转换为灰度图实现是一种在图像处理领域常见的操作。这种操作通过将彩色图像的RGB三个通道转换为单一的灰度值,使得图像处理变得更加简单和高效。 RGB图像是一种最常见 ......
灰度 图像 MATLAB FPGA RGB

FPGA和ZYNQ 开发平台

FPGA,全称为Field-Programmable Gate Array,即现场可编程门阵列,是一种FPGA,全称为Field-Programmable Gate Array,即现场可编程门阵列,是一种数字集成电路。它是一种半定制电路,既能解决定制电路的不足,又克服了通用器件的缺点。FPGA的基本 ......
开发平台 平台 FPGA ZYNQ

# FPGA入门笔记002——译码器

设计一个38译码器 项目文件编写: module my3_8( a, b, c, out ); input a; //输入端口A input b; //输入端口B input c; //输入端口C output reg[7:0]out; //输出端口 /* always块: '()'内部为敏感信号, ......
译码器 译码 笔记 FPGA 002

基于FPGA的ECG心电信号峰值检测和心率计算,包括testbench测试文件和ECG数据转换为coe文件程序

1.算法运行效果图预览 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 心电图(ECG)是一种广泛应用于医疗诊断的技术,用于监测心脏的电活动。随着医疗技术的发展,基于FPGA(现场可编程门阵列)的ECG信号处理系统越来越受到关注。这种系统具有高实时性、高可靠 ......
文件 心率 峰值 ECG testbench

m基于FPGA的4ASK调制解调系统verilog实现,包含testbench测试文件

1.算法仿真效果 本系统Vivado2019.2平台开发,测试结果如下: rtl结构如下: 2.算法涉及理论知识概要 随着通信技术的不断发展,多进制数字调制方式逐渐受到人们的关注。其中,4ASK(四进制振幅键控)作为一种有效的调制方式,在通信系统中具有广泛的应用前景。4ASK调制是一种多进制数字调制 ......
testbench verilog 文件 系统 FPGA

FPGA入门学习笔记001

1、assign assign为连续赋值语句,通常用于组合逻辑电路,例如: assign led_out = (key_in == 0)? a : b; 2、timescale 例如: `timescale 1ns/1ps 定义了一个仿真精度。 '1ns'为仿真步进,例如设置100的延时'#100' ......
笔记 FPGA 001

【动态规划】流水线调度问题(加工顺序问题)

问题描述: 有若干任务,{1,2...n}。每个任务都需要先在机器1,然后在机器2上执行。每个任务在不同机器执行时有相应时间。 求解任务的执行顺序,使得在最短的时间内分别在两台机器上执行完所有任务。 例:下图为任务i,j在机器a,b的执行时间。 根据Johnson算法求解:min{bi,aj}>=m ......
问题 流水线 顺序 流水 动态

基于FPGA的图像中值滤波开发,包括tb测试文件以及matlab验证代码

算法运行效果图预览 通过MATLAB调用FPGA的仿真结果,显示滤波效果: 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 基于FPGA的图像中值滤波是一种在图像处理中常用的滤波技术,其原理是通过一定的算法将图像中的噪声平滑掉,同时尽量保留图像的细节信息。该 ......
中值 图像 代码 文件 matlab

FPGA与Simulink联合仿真环境搭建(硬件在环)

硬件在环(HIL) \(\quad\)官方的一些定义:硬件在环 (HIL) 测试是一种实时仿真,让您无需使用系统硬件即可开始测试嵌入式代码。如果正在开发的代码未按照规范运行,您可以通过此项测试来发现可能损坏硬件的异常和故障情况。 \(\quad\) 非常高大上,我这里呢,就想实现一点功能,因为我是用 ......
Simulink 环境 硬件 FPGA

m基于FPGA的2ASK调制解调系统verilog实现,包含testbench测试文件

1.算法仿真效果 本系统Vivado2019.2平台开发,测试结果如下: 2.算法涉及理论知识概要 2ASK调制解调是一种数字调制解调技术,它是基于ASK调制的一种数字调制方式。ASK调制是一种模拟调制方式,它是通过改变载波的振幅来传输数字信号。而2ASK调制解调则是将数字信号转换为二进制码,再通过 ......
testbench verilog 文件 系统 FPGA

FPGA学习笔记001——流水灯

代码如下: module led_flash( //端口列表 Clk50M, //时钟信号 Rst_n, //复位信号 led, ); //端口定义 input Clk50M; input Rst_n; output [3:0]led; //led默认为wire类型 reg [24:0]cnt; p ......
流水 笔记 FPGA 001

计算机组成原理之处理器(流水线)

引言 为什么不采用单周期实现,硬件比较简单? 主要是因为效率太低,处理器中最长的路径(一般是ld指令)决定了时钟周期 流水线概述 流水线是一种能使多条指令重叠执行的技术。 流水线更快的原因是所有的工作都在并行执行,所以单位时间能够完成更多的工作,流水线提高了吞吐率。 RISC-V是五级流水线 约等于 ......
流水线 处理器 流水 原理 计算机

基于FPGA的图像sobel边缘提取算法开发,包括tb测试文件以及matlab验证代码

1.算法运行效果图预览 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 图像边缘检测大幅度地减少了数据量,并且剔除了可以认为不相关的信息,保留了图像重要的结构属性。有许多方法用于边缘检测,它们的绝大部分可以划分为两类:基于查找一类和基于零穿越的一类。基于查找 ......
算法 图像 边缘 代码 文件

可能是流水调度问题的证明

之前一直都丢在luogu,现在终于放这了 n个东西需要加工,在A加工的时间是ai, 在B加工的时间是bi,每个东西必须在A加工完后才能在B加工,求最少时间 贪心大体思路:不要让A有空闲时间,B的空闲时间尽量少是最优的 对于贪心思路采用归纳法 对于n = 1的情况,显然最少时间是a1 + b1 对于n ......
流水 问题

基于FPGA的Lorenz混沌系统verilog开发,含testbench和matlab辅助测试程序

1.算法运行效果图预览 将vivado的仿真结果导入到matlab显示三维混沌效果: 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 洛伦兹混沌系统是一种非线性动力系统,最初由爱德华·洛伦兹(Edward Lorenz)于1963年引入,它的简单方程组引发了 ......
testbench verilog 程序 Lorenz matlab

04_LED流水灯

LED流水灯 代码 #include <REGX52.H> #include<intrins.h> void Delay500ms() //@12.000MHz { unsigned char i, j, k; _nop_(); i = 4; j = 205; k = 187; do { do { ......
流水 LED 04

05_LED流水灯Plus

LED流水灯Plus 修改延迟函数 void DelayXms(unsigned int xms) //@12.000MHz { unsigned char i, j; while(xms--) { i = 2; j = 239; do { while (--j); } while (--i); } ......
流水 Plus LED 05

STM32 PWM控制LED流水灯 学习记录随笔

代码部分 #include "stm32f10x.h" // Device header#include "Delay.h"int main(void){ RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA,ENABLE);//启用系统寄存器时钟,使能GPIOC组 ......
随笔 流水 STM PWM LED

【行云流水线实践】基于“OneBuild”方法对镜像进行快速装箱

在云原生领域,无论使用哪种编排调度平台,Kubernetes,DockerSwarm,OpenShift等,业务都需要基于镜像进行交付,我们在内部实践“Source-to-image”和链式构建,总而总结出“OneBuild”模式。其核心思想是:一处构建,多处使用。 ......
流水线 OneBuild 流水 镜像 方法

m基于FPGA的8FSK调制解调系统verilog实现,包含testbench测试文件

1.算法仿真效果 vivado2019.2仿真结果如下: 将波形放大,看到如下效果: 2.算法涉及理论知识概要 8FSK(8-Frequency Shift Keying)是一种常用的数字调制方法,它通过在不同的频率上发送二进制数据来进行通信。8FSK在通信系统中被广泛应用,因为它具有较高的数据传输 ......
testbench verilog 文件 系统 FPGA

m基于FPGA的4FSK调制解调系统verilog实现,包含testbench测试文件

1.算法仿真效果 vivado2019.2版本开发,仿真结果如下: 2.算法涉及理论知识概要 四频移键控(4FSK)是一种常用的数字调制方法,具有较高的频带利用率和抗干扰性能。它利用不同的频率来传输二进制数据,通常应用于无线通信和数据传输等领域。 2.1、原理与数学公式 4FSK调制的基本原理是将输 ......
testbench verilog 文件 系统 FPGA

【Pipeline】Jenkins流水线中如何使用全局变量

例如我们在 stage1中 利用 script 代码块 设置赋值了一个 变量,然后想在 stage2中使用。应该怎么操作呢? https://stackoverflow.com/questions/53541489/updating-environment-global-variable-in-je ......
流水线 全局 变量 Pipeline 流水

【Pipeline】Jenkins流水线parallel并行构建

位于parallel块下的阶段都将并行执行,而且并行阶段还可以被分到不同的Jenkins agent上执行。 在默认情况下,Jenkins pipeline要等待parallel块下所有的阶段都执行完成,才能确定结果。如果希望所有并行阶段中的某个阶段失败后,就让其他正在执行的阶段都中止,那么只需要在 ......
流水线 Pipeline parallel 流水 Jenkins

1.使用Jenkins自动部署.NET站点(流水线 pipeline 方式)

Jenkins安装:参考文章【Jenkins安装】 部署.NET站点 1、新建项目 1,1、Dashboard页面菜单>点击新建Item>输入名称 "TestWebService",并且选择【Pipeline】风格 2、配置 2.1 点击配置 2.2 进入配置页 2.3 设置【丢弃旧的构建】 2.4 ......
流水线 pipeline 流水 Jenkins 站点

创建CI/CD流水线中的IaC前,需要考虑哪些事项?

许多软件工程团队通常会遵循相似的方法来交付基础设施以支持软件开发生命周期。为了缩小基础设施配置方式与应用程序环境部署方式之间的差距,许多 DevOps 团队将其基础设施即代码(IaC)模块直接连接到其 CI/CD 平台。其目的是创建一个直接融入软件开发和交付流程的连续基础设施流水线,类似于用于持续交 ......
流水线 流水 事项 IaC CI

STM32基于HAL库流水灯实验

一、搭建STM32的开发环境(一)安装jdk由于STM32CubeMX是Java实现的,需要安装jdk环境。jdk官网下载链接:https://www.oracle.com/java/technologies/javase-downloads.html (二)安装STM32CubeMX1.下载地址: ......
流水 STM HAL 32

szfpga 高云gowin国产开发板GW2AR-18核心板fpga cpld测试板

1. 概述 国产FPGA是最近几年起来的产品,具有性价比高特点。而GOWIN属于国产FPGA成员,在服务和芯片都是比较大的优势,很多用户都用在LED控制,电机控制,PLC设备上,以及用于替换Lattice厂家中低端的芯片。 GW2AR-18 开发板采用GW2AR-18-LV9EQ144器件,是属于晨 ......
国产 核心 szfpga gowin GW2AR

易语言开发银行流水生成器,分享源码仅供学习

小编我是做技术开发的,易语言的话学了将近有10年左右,然后看网上很多人都在找这个玩意,然后就是有一个人卖9000多,感觉那种人就是向前想疯了,我分析了一下它的软件源码,也就是辅助生成数据,并不是一键它会生成文档,就是给你按参数生成固定的数据,然后需要你自己复制粘贴,这个原理的实现真的挺简单的,我用易 ......
生成器 源码 流水 语言 银行

易语言开发微信流水生成器,分享源代码

那么其实我是做技术开发的,今天就用易语言开发这么一款软件,就当是学习研究用的把,用的是精易模块奥,必须要用这个,具体怎么下载你可以百度就行了。 源码分享: .版本 2 .支持库 spec .程序集 窗口程序集_启动窗口 .子程序 _按钮1_被单击 置剪辑板文本 (编辑框1.内容) _启动窗口.标题 ......
生成器 源代码 流水 语言